A Survey for Electronic Design Automation Based on Graph Neural Network
-
摘要: 在摩尔定律的推动下,工艺节点在不断演进,集成电路设计复杂度也在不断增加,电子设计自动化(EDA)技术面临着来自运行时间与计算资源等诸多方面的挑战。为了缓解这些挑战,机器学习方法已被纳入EDA工具的设计流程中。与此同时,鉴于电路网表作为图形数据的本质,图神经网络(GNN)在EDA流程中的应用正变得越来越普遍,为复杂问题的建模以及最优问题的求解带来了新思路。该文首先对GNN与EDA技术的概念内涵进行了简要的概述,详细地梳理了GNN在高层次综合(HLS)、逻辑综合、布图规划与布局、布线、反向工程、硬件木马检测以及测试点插入等不同EDA设计流程中的主要作用,以及当前基于GNN的EDA技术的一些重要探索。以希望为集成电路设计自动化以及相关领域的研究人员提供参考,为我国先进集成电路产业的发展提供技术支持。Abstract: Driven by Moore’s law, the aggressive shrinking of feature sizes, and the complexity of the chip design is also steadily increasing. Electronic Design Automation (EDA) technology faces challenges from many aspects such as runtime and computing resources. To alleviate these challenges, machine learning methods are incorporated into the design process of EDA tools. At the same time, given the nature of circuit netlist as graphical data, the application of Graph Neural Network (GNN) in the EDA is becoming more and more common, bring new ideas for modeling complex problems and solving optimal problems. A brief overview of the concept GNN and EDA is presented. The main role of GNN in different EDA stages such as High Level Synthesis (HLS), logic synthesis, floorplan and placement, routing, reverse engineering, hardware trojan detection and test point insertion is summarized. The main role of GNN in the EDA design process is sorted out in detail, as well as some important explorations of current GNN-based EDA technology. It is hoped to provide reference for researchers in integrated circuit design automation and related fields, and provide technical support for China’s advanced integrated circuit industry.
-
1. 引言
滚动轴承是机械设备中易受损部件之一,其健康状况直接影响到整个设备的运转状态。因此,对轴承的剩余使用寿命进行有效预测将有利于避免严重威胁生命和财产安全的事故发生[1-3]。
基于深度学习的轴承剩余使用寿命预测方法在近几年取得快速发展。如:Wang等人[4]结合卷积神经网络和长短期记忆神经网络,提取轴承的时序特征和空间特征,对滚动轴承进行寿命预测;Yang等人[5]将振动信号分解为固有尺度分量,并选择有效的分量重构信号并建立特征集,然后利用灰色回归模型修复通过融合改进的独立分量和马氏距离计算的退化指标得到健康指标并训练模型实现轴承的剩余使用寿命预测;Ding等人[6]利用C均值聚类将轴承的全寿命数据分为正常运行、轻微退化、严重退化3阶段,并用粒子群算法优化网络,实现剩余使用寿命预测。上述方法能够对同一种工况下轴承的剩余使用寿命进行有效的预测,然而在实际应用中,大多数轴承在不同的工况下运行,跨工况条件下数据分布的差异导致剩余使用寿命(Remain Useful Life, RUL)预测模型的预测性能急剧下降[7]。
在跨工况条件下,可通过挖掘源域特征和目标域特征之间的相似性关系,将源域的知识应用于目标域,提高目标域轴承RUL预测精度[8, 9]。如:Hu等人[10]通过多个自编码器提取源域和目标域轴承的私有特征和公共特征,并将公共特征进行领域适应实现RUL预测;Cheng等人[11]通过可转移特征注意力和可转移实体注意力对目标域特征进行加权,提高了目标域轴承RUL预测精度;Zou等人[12]通过重建的退化指标提取具有显著特征的退化样本,并输入到多域对抗网络以实现特征转移,最后通过双向长短期记忆网络(Bi-directional Long Short-Term Memory, Bi-LSTM)实现RUL预测。上述方法在跨工况条件下的轴承剩余使用寿命预测取得了一定的结果,但是上述方法在对齐源域和目标域数据时,只是通过距离度量拉近两个域的数据分布,未考虑决策边界模糊的问题,导致边界周围的样本依然难以实现有效预测;并且目标域轴承样本没有对应的标签,目标域样本的特征不能实现与真实RUL之间的映射,不同样本可能会被提取出相同或相近的特征,从而导致不同样本预测出相同的RUL。
为解决上述问题,本文提出一种正交约束的最大分类器差异方法 (Maximum Classifier Discrepancy network with Orthogonal Constraints, MCD_OC)。针对现有域适应方法的模型决策边界模糊的问题,使用最大分类器差异方法对源域和目标域特征进行领域适应。针对目标域轴承无标签导致难以提取反映轴承退化趋势的特征,在训练时对每个mini-batch的目标域特征正交约束,以增强不同剩余寿命状态下样本特征的可辨识性。最后,基于PHM2012数据集构建多个跨工况轴承剩余使用寿命预测实验,论证所提模型的有效性与稳定性。
2. 域适应描述
迁移学习是一种能够将现有知识应用于相关领域的方法。在迁移学习中被迁移的领域称为源域(Source domain),待学习的领域称为目标域(Target domain)。源域获取的数据集{xsi,ysi}Ns1中,共有Ns个样本,ysi是样本xsi对应的标签。源域中的样本来自样本空间χs,标签来自空间ys,即xsi∈χs, ysi∈ys,数据分布服从P(χs)。目标域获取的数据{xti}Nti=1中,包含Nt个样本,样本来自于样本空间χt,数据分布服从Q(χy),并且Q≠P。
域适应是迁移学习的分支,能够充分利用源域和目标域与数据,从而解决两个域特征分布不一致的问题。通过源域的带标签数据训练模型,建立样本空间χs到源域样本标签ys的非线性映射关系f:χs→ys,在源域上学习知识。为减小源域和目标域的数据分布存在的差异,适配源域与目标域的数据分布,使模型在源域学习到的知识应用于目标域。
3. MCD_OC的轴承剩余寿命预测方法
目前大部分领域适应方法都是通过不同的度量方法度量两个分布的距离,或通过混淆域判别器将两域的特征对齐。然而对齐的结果只是拉近两个域的特征分布,没有考虑模糊的决策边界对预测造成的影响,处于边界周围的样本依然难以预测。此外,轴承不同退化程度的样本的特征存在差异,而目标域上存在的某些相似的样本特征可辨识性低,模型难以识别。
为解决上述问题,本文提出MCD_OC方法,通过最大分类器差异将源域和目标域的特征进行领域适应,同时为保证提取出能够反映目标域轴承退化的特征,求取点积作为损失优化网络参数,使目标域轴承特征保持正交。
3.1 最大分类器差异
如图1所示,普通域适应方法为减小源域和目标域的差异,只是将两个域的特征拉到相同的分布下,但是两个域的分布可能不能够准确对齐,会出现决策边界模糊的问题。由于模型已充分学习源域上的知识,因此能够准确预测源域上的样本,然而对目标域的样本预测时,模糊的决策边界导致分类器难以准确预测其RUL。为减小模糊的决策边界对RUL预测造成的影响,本文引入最大分类器差异[13]。通过两个不同的分类器预测的目标域样本RUL,得到分类器差异损失Lossdisc,再使用Lossdisc交替训练特征提取器和分类器,不断优化模型的特征提取能力和决策边界,拉近源域和目标域之间的距离。优化方法分为以下3步:
首先在源域数据上对轴承剩余寿命预测模型训练,构建对轴承剩余寿命的预测能力,以向目标域提供可迁移的预测知识
Lossreg1=1NsNs∑i=1(C1(F(xsi))−ysi)2 (1) Lossreg2=1NsNs∑i=1(C2(F(xsi))−ysi)2 (2) 其中,Ns是源域样本的个数,xsi为源域上第i个样本,F(⋅)为模型的特征提取器,C1(⋅)和C2(⋅)为模型的两个分类器,通过特征提取器和分类器得出两个RUL预测结果C1(F(xsi))和C2(F(xsi)),并与真实RUL标签ysi计算损失Lossreg1和Lossreg2,优化整个网络。
然后,在保证模型的预测精度的同时,引入分类器差异损失Lossdisc增大两个分类器的差异,即最大化Lossdisc优化分类器。分类器差异损失Lossdisc表示为
Lossdisc=−12Ns∑k1=1Ns∑k2=1[|sigmoid(C1(F(xtk1)))−sigmoid(C2(F(xtk2)))|] (3) 其中,sigmoid(x)=11+e−x, xti为目标域上第i个样本。
最后,为提高特征提取器的特征提取能力,最小化Lossdisc并优化特征提取器。通过Lossdisc优化特征提取器,使特征提取器能够提取出表达能力更强的特征,以减小两个不同分类器对难预测样本预测的分歧。
3.2 正交约束
使用源域有标签数据训练模型,模型学习到源域数据的特征,然后分类器通过建立特征和标签之间的映射关系,能够准确预测源域上轴承的RUL。然而目标域轴承的样本没有剩余寿命标签,模型不能直接构建特征与RUL之间的映射,因此经过无监督训练后模型的特征提取器依然可能难以提取能够反映目标域轴承的退化特征。轴承的寿命预测中,不同的样本对应不同的剩余使用寿命,因此将不同的样本表示为不同的类。如图2所示,对目标域特征施加正交约束,在一定程度上强制特征之间保持正交,能够增大类间的距离[14],从而增大样本之间的差异,确保不同样本的可辨识性。分类器根据存在差异的不同样本的特征,能够避免混淆不同样本。
通过特征提取器能够提取轴承的深层特征,并沿batch维度将每个mini-batch中目标域深层特征z等分为两组样本特征集(z′和z″),进而基于划分的两组样本特征集,进行样本特征间的点积运算求得正交约束损失Lossoc
Lossoc=1nz′+nz″nz′∑i=1n″z∑j=1<z′i,z″j> (4) 其中,nz′和nz″为z′和z″中各自的样本特征数量。z′i是z′中第i个样本特征,z″j是z″中第j个样本特征。将z′和z″的所有样本间的点积均值作为Lossoc。通过最小化Lossoc,优化特征提取器参数,对提取的特征正交约束,增大特征之间的差异。
3.3 寿命预测模型
网络结构如图3所示,主要由特征提取器F(⋅)、分类器C1(⋅)和分类器C2(⋅)组成。其中,特征提取器F(⋅)由卷积神经网络 (Convolutional Neural Networks, CNN)和门控循环单元(Gate Recurrent Unit, GRU)构成,通过CNN提取输入轴承的空间特征,连续的空间特征堆叠成时间序列,将提取的源域和目标域特征领域适应,同时在训练时对目标域特征正交约束,增大不同样本特征间的可辨识性。
用θF, θC1, θC2表示特征提取器、分类器C1、分类器C2的参数,ε, δ, η为学习率。
首先用大量源域数据训练模型,提高模型在源域上的RUL预测精度,此时,模型的总损失Lossall1为
Lossall1=Lossreg1+Lossreg2 (5) 此时的优化目标
Loss(θ∗F,θ∗C1,θ∗C2)=minθF,θC1,θC2Lossreg1(θF,θC1,θC2)+Lossreg2(θF,θC1,θC2) (6) 然后最大化分类器之间的差异,α为Lossdisc的系数。模型的总损失Lossall2为
Lossall2=Lossreg1+Lossreg2−αLossdisc (7) 此时优化目标为
Loss(θ∗C1,θ∗C2)=minθC1,θC2Lossreg1(θC1,θC2)+Lossreg2(θC1,θC2)−αLossdisc(θC1,θC2) (8) 最后,为保证特征提取器能够提取出表达能力更强的特征,减小分类器预测结果的差异,最小化Lossdisc。同时,为了增大目标域特征的差异,最小化Lossoc,优化特征提取器。其中β为Lossdisc的系数,γ为Lossoc的系数。模型总损失Lossall3为
Lossall3=βLossdisc+γLossoc (9) 优化目标为
Loss(θ∗F)=minθFβLossdisc(θF)+γLossoc(θF) (10) 4. MCD_OC跨工况轴承RUL预测流程
MCD_OC方法预测流程如图4所示,分为3个步骤:振动信号采集与数据预处理;模型的建立与训练;轴承RUL预测性能验证。
(1) 振动信号采集与数据预处理:采集振动信号,对源域上采集的轴承振动信号标记剩余使用寿命标签,提取源域和目标域轴承振动信号的频域特征。
(2) 模型的建立与训练:建立模型,用带标签的源域样本和无标签的目标域样本训练模型。将源域和目标域轴承的特征领域适应,使模型具有目标域样本寿命预测能力。
(3) 轴承RUL预测性能验证:用经训练的模型测试目标域测试集,将两个分类器得出的预测结果求取平均值,作为模型预测结果,分析所提方法的性能并与对比方法进行对比,证明模型的有效性和稳定性。
5. 实验验证
5.1 实验数据集介绍
本文采用PHM2012挑战数据集[15]验证所提模型的性能,该数据集由PRONOSTIA实验台提供,包含加速度传感器采集的17组轴承的全寿命周期振动信号。信号的采样频率为25.6 kHz,采样间隔10 s,每次采样时间0.1 s,当振动信号的幅值超过20 g时设定轴承失效,停止采样。用轴承剩余寿命占全寿命的比值作为样本的标签,如式(11)所示
yi=T−tiT (11) 其中,yi为第i时刻的剩余使用寿命,T为轴承从开始运行到直到失效所用时间。
实验数据集包括3种不同的工况,各轴承的运行情况如表1所示。数据集划分如表2所示,通过6个预测任务评估模型性能,分别为工况1分别域适应学习工况2 、工况3;工况 2分别域适应学习工况1、工况3;工况3分别域适应学习工况1、工况2。6个预测任务的训练集包含源域的有标签数据和目标域的无标签数据,测试数据集包含目标域未标记数据。
表 1 轴承运行的3种不同工况变量 工况1 工况2 工况3 压力(N) 4000 4200 5000 转速(r/min) 1800 1650 1500 表 2 MCD_OC试验数据集任务 源域训练集 目标域训练集 测试集 工况1→工况2(C12) 轴承1-1~1-7 轴承2-1,2-2 轴承2-6 工况1→工况3(C13) 轴承1-1~1-7 轴承3-1,3-2 轴承3-3 工况2→工况1(C21) 轴承2-1~2-7 轴承1-1,1-2 轴承1-7 工况2→工况3(C23) 轴承2-1~2-7 轴承3-1,3-2 轴承3-3 工况3→工况1(C31) 轴承3-1~3-3 轴承1-1,1-2 轴承1-7 工况3→工况2(C32) 轴承3-1~3-3 轴承2-1,2-2 轴承2-6 5.2 数据预处理
采集轴承原始振动信号后,利用快速傅里叶变换将时域信号转换为频域信号作为模型的输入,此时每个时刻的频域信号包含128 0维特征。轴承时域信号和归一化后的频域信号如图5所示。为充分利用数据之间潜在的时序信息,将连续n个时刻振动信号的频域特征作为模型的输入,xi表示第i时刻信号的频域特征,此时模型每个样本Xi=(xi,xi+1,⋯,xi+n),表示第 i 时刻到第 i + n 时刻信号的频域特征。数据集经重新排列后为
\left[ {\begin{array}{*{20}{c}} {{{\boldsymbol{X}}_1}} \\ {{{\boldsymbol{X}}_2}} \\ \vdots \\ {{{\boldsymbol{X}}_i}} \end{array}} \right] = \left[ {\begin{array}{*{20}{c}} {{x_1}}&{{x_2}}&{\cdots}&{{x_n}} \\ {{x_2}}&{{x_3}}&{\cdots}&{{x_{n + 1}}} \\ \vdots & \vdots &{\ddots}& \vdots \\ {{x_i}}&{{x_{i + 1}}}&{\cdots}&{{x_{i + n}}} \end{array}} \right] (12) 5.3 模型参数设置
本文所提模型参数如表3所示。特征提取器 F( \cdot ) 由3层1维卷积层、3层池化层和1层GRU组成,分类器 {C_1}( \cdot ) , {C_2}( \cdot ) 都由3层全连接层组成,并且结构相同。由Adam优化器对网络的参数更新,学习率为0.000 2,训练批次大小为50,重复训练网络次数40次。为了减小随机性对实验结果的影响,本节中所有实验均独立重复3次。
表 3 模型参数网络层 参数 激活函数 卷积层1, BN 卷积核大小7×1,数量 80,步长1 ReLU 最大池化层1 大小 8×1,步长8 \ 卷积层2, BN 卷积核大小5×1,数量160,步长1 ReLU 最大池化层2 大小8×1,步长1 \ 卷积层3, BN 卷积核大小3×1,数量 320,步长1 ReLU 最大池化层3 大小4×1,步长1 \ GRU 输出维度 1440 \ 全连接层1 神经元个数128,Dropout 0.5 \ 全连接层2 神经元个数32,Dropout 0.5 \ 全连接层3 神经元个数1 \ 5.4 实验结果与对比分析
为验证本文所提方法对于轴承寿命预测的有效性和稳定性,将模型与深度域混淆网络(Deep Domain Confusion, DDC)[16]、域对抗迁移网络(Domain Adaptive Neural Network, DANN)[17]、最大分类器差异网络(Maximum Classifier Discrepancy for Unsupervised Domain Adaptation, MCD_DA)[13]、相关对齐网络(Correlation Alignment for Deep Domain Adaptation, CORAL)[18]、正交约束深度域混淆网络(Deep Domain Confusion with Orthogonal Constraint, DDC_OC)方法进行对比,DDC_OC通过最大均值差异将源域和目标域的特征对齐的同时,对目标域特征正交约束。每个模型涉及相同模块的参数结构相同,6组实验结果如图6所示,图中横坐标为时间,纵坐标为剩余寿命量化指标。
为了定量分析本文提出MCD_OC方法的有效性和稳定性,本文采用平均绝对误差(Mean Absolute Error, MAE)、均方根误差(Root Mean Squared Error, RMSE)衡量3组实验的RUL预测结果。MAE和RMSE的计算公式如式(13)、式(14)所示
{\text{MAE}} = \frac{1}{N}\sum\limits_{i = 1}^N {\left| {{{\hat y}_i} - {y_i}} \right|} (13) {\text{RMSE}} = \sqrt {\frac{1}{N}\sum\limits_{i = 1}^N {{{\left( {{{\hat y}_i} - {y_i}} \right)}^2}} } (14) 其中, {y_i} 表示RUL标签, {\hat y_i} 表示模型的RUL预测结果,N为测试集样本数量。
不同方法在不同任务中的MAE和RMSE的结果统计如表4所示。在部分任务中,DDC和DANN取得了一定的预测效果,但是在某些工况下的预测误差大,预测精度仍然需要进一步提高。CORAL通过将2阶统计量对齐,对比DDC和DANN,在C13任务中取得了最优结果,然而在C32任务中,预测误差在所有的方法中最大。通过对目标域特征进行正交约束,对比DDC, DDC_OC在5组实验中取得更优的MAE和RMSE结果,在C13, C21, C23实验中,MAE分别降低了0.038, 0.04, 0.017,RMSE分别降低了0.02, 0.035, 0.027,模型的预测能力明显上升。DDC,DANN,CORAL方法由于没有考虑到决策边界模糊的问题,因此平均MAE和RMSE均劣于MCD_DA。本文结合正交约束和最大分类器差异方法,在对目标域特征正交约束的条件下,增大了不同样本特征之间的可辨识度,同时解决了决策边界模糊的问题,在多组任务下取得了较优的结果。在不同的任务中,源域和目标域的数据分布存在差异,导致域适应任务存在差异性和不确定性,难以确保所有的任务都能取得最优的结果。虽然本文所提方法在C13中未取得最优结果,综合所有任务的MAE和RMSE,能够反映出本文方法具有更强的稳定性和有效性,对跨工况轴承剩余使用寿命具有一定预测能力。
表 4 MCD_OC和对比模型的预测结果方法 评价指标 C12 C13 C21 C23 C31 C32 平均值 DDC MAE 0.179 0.118 0.224 0.212 0.160 0.307 0.200 RMSE 0.218 0.136 0.258 0.246 0.208 0.354 0.237 DANN MAE 0.120 0.070 0.271 0.227 0.166 0.413 0.211 RMSE 0.149 0.092 0.311 0.257 0.213 0.442 0.244 CORAL MAE 0.146 0.065 0.230 0.198 0.162 0.414 0.202 RMSE 0.167 0.080 0.269 0.238 0.234 0.435 0.237 DDC_OC MAE 0.174 0.080 0.184 0.195 0.160 0.323 0.186 RMSE 0.209 0.116 0.223 0.219 0.210 0.363 0.223 MCD_DA MAE 0.125 0.068 0.229 0.217 0.165 0.385 0.198 RMSE 0.150 0.086 0.274 0.253 0.219 0.426 0.235 MCD_OC MAE 0.117 0.084 0.163 0.178 0.160 0.202 0.151 RMSE 0.144 0.106 0.220 0.216 0.208 0.234 0.188 6. 结束语
针对跨工况条件下分类器决策边界模糊、目标域特征难以区分,导致跨工况条件下轴承剩余使用寿命预测精度低的问题,本文提出了一种正交约束域适应的跨工况滚动轴承剩余使用寿命预测方法。利用最大分类器差异将源域和目标域的特征分布对齐,解决了分类器决策边界模糊的问题,同时,通过正交约束增大特征之间的差异,加强特征的可辨识度,提高了目标域轴承的预测精度。最后,基于轴承寿命数据集开展了跨工况轴承寿命预测对比实验,本文所提正交约束域适应方法取得了最佳的平均MAE和RMSE结果,论证了本文所提方法在综合性能上具有更强的泛化性和稳定性。
本文所讨论的各跨工况滚动轴承剩余使用寿命预测方法均假设目标域轴承数据集为全寿命数据集,在实际应用场景,获取某些工况下轴承的全寿命数据集十分困难,因此,在后续的工作中拟开展在不具备全寿命数据集条件下的跨工况滚动轴承剩余使用寿命预测方法研究。
-
表 1 基于GNN的EDA技术
EDA技术分类 GNN模型 具体描述 参考文献 逻辑综合 GraphSAGE HLS阶段学习如何将算术运算映射为实际的FPGA资源 文献[26]: D-SAGE GCN 在尽可能早的阶段快速完成对HLS设计关于资源使用和时序性能的预测评估 文献[27] GCN HLS阶段进行性能的预测评估,同时进行设计空间探索,提供不同目标间的帕累托最优解决方案 文献[28]: IRONMAN
文献[29]: IRONMAN-PROGCN 利用来自硬件设计和逻辑综合流程的空时信息完成不同设计在各种逻辑综合流程中关于延时及面积信息的预测 文献[30]: LOSTIN 布图规划
与布局Edge-GNN
图强化学习将数字集成电路宏模块布图规划问题转化为强化学习问题,进一步进行求解 文献[31] GraphSAGE 将逻辑单元进行聚类,从而优化布局流程,加速布局流程收敛 文献[32]、文献[33] GCN 同时考虑了宏模块与标准单元的布局求解问题 文献[34]: DeepPlace GCN 布局阶段完成拥塞预测 文献[35] GCN 布局阶段完成拥塞预测 文献[36] GraphSAGE 通过提取完整的能够反映短路违例的特征参数,在布局阶段利用GraphSAGE模型完成短路违例的预测 文献[37] 布线 GCN 利用GCN的详细节点嵌入作为强化学习的策略网络指导布线流程的优化 文献[34]: DeepPR 反向工程与硬件木马检测 GAT/GraphSAINT 门级网表中进行子电路的分类与提取 文献[43]: GNN-RE ABGNN 提升GNN在门级网表算数块识别过程中的可扩展性,并利用开源RISC-V处理器的门级网表进行验证 文献[44] GCN 在事先不了解设计IP或硬件木马结构的前提下完成对RTL设计中硬件木马的快速检测与识别 文献[45]: GNN4TJ
文献[46-49]测试点的选取 GCN 快速进行测试点的插入以及时序模型的选择 文献[20] GCNNs 快速处理数字逻辑电路中不规则的图表示,提高故障覆盖率 文献[51] -
[1] 田春生, 陈雷, 王源, 等. 基于机器学习的FPGA电子设计自动化技术研究综述[J]. 电子与信息学报, 2023, 45(1): 1–13. doi: 10.11999/JEIT220183TIAN Chunsheng, CHEN Lei, WANG Yuan, et al. A survey on FPGA electronic design automation technology based on machine learning[J]. Journal of Electronics &Information Technology, 2023, 45(1): 1–13. doi: 10.11999/JEIT220183 [2] 贺旭, 王耀, 傅智勇, 等. 敏捷设计中基于机器学习的静态时序分析方法综述[J]. 计算机辅助设计与图形学学报, 2023, 35(4): 640–652. doi: 10.3724/SP.J.1089.2023.19557HE Xu, WANG Yao, FU Zhiyong, et al. A survey on machine learning-based technology for static timing analysis in agile design[J]. Journal of Computer-Aided Design &Computer Graphics, 2023, 35(4): 640–652. doi: 10.3724/SP.J.1089.2023.19557 [3] KAHNG A B, LIENIG J, MARKOV I L, et al. VLSI Physical Design: From Graph Partitioning to Timing Closure[M]. Dordrecht: Springer, 2011. [4] ITRS. International technology roadmap for semiconductors 2.0 publication[EB/OL]. http://www.itrs2.net/itrs-reports.html. [5] 殷佳琪, 潘涛. 集成电路产业综述[J]. 科技资讯, 2021, 19(28): 54–58,63. doi: 10.16661/j.cnki.1672-3791.2110-5042-8981YIN Jiaqi and PAN Tao. Overview of integrated circuit industry[J]. Science &Technology Information, 2021, 19(28): 54–58,63. doi: 10.16661/j.cnki.1672-3791.2110-5042-8981 [6] CHEN T. An ANN approach for modeling the multisource yield learning process with semiconductor manufacturing as an example[J]. Computers & Industrial Engineering, 2017, 103: 98–104. doi: 10.1016/j.cie.2016.11.021 [7] DING Duo, TORRES J A, and PAN D Z. High performance lithography hotspot detection with successively refined pattern identifications and machine learning[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2011, 30(11): 1621–1634. doi: 10.1109/TCAD.2011.2164537 [8] WARD S, DING D, and PAN D Z. PADE: A high-performance placer with automatic datapath extraction and evaluation through high-dimensional data learning[C]. DAC Design Automation Conference 2012, San Francisco, USA, 2012: 756–761. [9] WANG Fanchao, ZHU Hanbin, POPLI P, et al. Accelerating coverage directed test generation for functional verification: A neural network-based framework[C]. The 2018 on Great Lakes Symposium on VLSI, Chicago, USA, 2018: 207–212. [10] KIELY T and GIELEN G. Performance modeling of analog integrated circuits using least-squares support vector machines[C]. Design, Automation and Test in Europe Conference and Exhibition, Paris, France, 2004: 448–453. [11] KAHNG A B, LIN B, and NATH S. ORION 3.0: A comprehensive NoC router estimation tool[J]. IEEE Embedded Systems Letters, 2015, 7(2): 41–45. doi: 10.1109/LES.2015.2402197 [12] YU Bei, PAN D Z, MATSUNAWA T, et al. Machine learning and pattern matching in physical design[C]. The 20th Asia and South Pacific Design Automation Conference, Chiba, Japan, 2015: 286–293. [13] HUANG Guyue, HU Jingbo, HE Yifan, et al. Machine learning for electronic design automation: A survey[J]. ACM Transactions on Design Automation of Electronic Systems, 2021, 26(5): 40. doi: 10.1145/3451179 [14] 田春生, 陈雷, 王源, 等. 面向FPGA的布局与布线技术研究综述[J]. 电子学报, 2022, 50(5): 1243–1254. doi: 10.12263/DZXB.20210637TIAN Chunsheng, CHEN Lei, WANG Yuan, et al. Review on technology of placement and routing for the FPGA[J]. Acta Electronica Sinica, 2022, 50(5): 1243–1254. doi: 10.12263/DZXB.20210637 [15] YIN Xiaoyan, LIN Wanyu, SUN Kexin, et al. A2S2-GNN: Rigging GNN-based social status by adversarial attacks in signed social networks[J]. IEEE Transactions on Information Forensics and Security, 2023, 18: 206–220. doi: 10.1109/TIFS.2022.3219342 [16] LI Jianxin, PENG Hao, CAO Yuwei, et al. Higher-order attribute-enhancing heterogeneous graph neural networks[J]. IEEE Transactions on Knowledge and Data Engineering, 2023, 35(1): 560–574. doi: 10.1109/TKDE.2021.3074654 [17] KIM M, KIM J, QU J, et al. Interpretable temporal graph neural network for prognostic prediction of Alzheimer’s disease using longitudinal neuroimaging data[C]. 2021 IEEE International Conference on Bioinformatics and Biomedicine (BIBM), Houston, USA, 2021: 1381–1384. [18] REN Haoxiang, NATH S, ZHANG Yanqing, et al. Why are graph neural networks effective for EDA problems[C]. 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD), San Diego, USA, 2022: 1–8. [19] LU Y C and LIM S K. On advancing physical design using graph neural networks[C]. The 41st IEEE/ACM International Conference on Computer-Aided Design, San Diego, USA, 2022: 2. [20] MA Yuzhe, HE Zhuolun, LI Wei, et al. Understanding graphs in EDA: From shallow to deep learning[C]. The 2020 International Symposium on Physical Design, Taipei, China, 2020: 119–126. [21] KHAILANY B. Accelerating chip design with machine learning[C]. The 2020 ACM/IEEE Workshop on Machine Learning for CAD, Iceland, 2020: 33. [22] 李甜甜, 张荣梅, 张佳慧. 图神经网络技术研究综述[J]. 河北省科学院学报, 2022, 39(2): 1–13. doi: 10.16191/j.cnki.hbkx.2022.02.007LI Tiantian, ZHANG Rongmei, and ZHANG Jiahui. Research review of graph neural network technology[J]. Journal of the Hebei Academy of Sciences, 2022, 39(2): 1–13. doi: 10.16191/j.cnki.hbkx.2022.02.007 [23] 马帅, 刘建伟, 左信. 图神经网络综述[J]. 计算机研究与发展, 2022, 59(1): 47–80. doi: 10.7544/issn1000-1239.20201055MA Shuai, LIU Jianwei, and ZUO Xin. Survey on graph neural network[J]. Journal of Computer Research and Development, 2022, 59(1): 47–80. doi: 10.7544/issn1000-1239.20201055 [24] SÁNCHEZ D, SERVADEI L KIPRIT G N, et al. A comprehensive survey on electronic design automation and graph neural networks: Theory and applications[J]. ACM Transactions on Design Automation of Electronic Systems, 2023, 28(2): 15. doi: 10.1145/3543853 [25] 林亦波, 高笑涵, 陈廷欢, 等. 机器学习辅助数字集成电路后端设计方法[J]. 微纳电子与智能制造, 2021, 3(2): 11–20. doi: 10.19816/j.cnki.10-1594/tn.2021.02.011LIN Y B, GAO X H, CHEN T H, et al. Machine learning for digital circuit backend design[J]. Micro/Nano Electronics and Intelligent Manufacturing, 2021, 3(2): 11–20. doi: 10.19816/j.cnki.10-1594/tn.2021.02.011 [26] USTUN E, DENG Chenhui, PAL D, et al. Accurate operation delay prediction for FPGA HLS using graph neural networks[C]. The 39th International Conference on Computer-Aided Design, New York, USA, 2020: 87. [27] WU Nan, YANG Hang, XIE Yuan, et al. High-level synthesis performance prediction using GNNs: Benchmarking, modeling, and advancing[C]. The 59th ACM/IEEE Design Automation Conference, San Francisco California, USA, 2022: 49–54. [28] WU Nan, XIE Huan, and HAO Cang. IronMan: GNN-assisted design space exploration in high-level synthesis via reinforcement learning[C]. 2021 on Great Lakes Symposium on VLSI, New York, USA, 2021: 39–44. [29] WU Nan, XIE Yuan, and HAO Cong. IRONMAN-PRO: Multiobjective design space exploration in HLS via reinforcement learning and graph neural network-based modeling[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023, 42(3): 900–913. doi: 10.1109/TCAD.2022.3185540 [30] WU Nan, LEE J, XIE Yuan, et al. LOSTIN: Logic optimization via spatio-temporal information with hybrid graph models[C]. 2022 IEEE 33rd International Conference on Application-specific Systems, Architectures and Processors (ASAP), Gothenburg, Sweden, 2022: 11–18. [31] MIRHOSEINI A, GOLDIE A, YAZGAN M, et al. A graph placement methodology for fast chip design[J]. Nature, 2021, 594(7862): 207–212. doi: 10.1038/s41586–021-03544-w [32] AGNESINA A, PENTAPATI S, and LIM S K. A general framework for VLSI tool parameter optimization with deep reinforcement learning[C/OL]. The NeurIPS 2020 Workshop on Machine Learning for Systems, 2020: 6–12. [33] LU Yichen, PENTAPATI S, and LIM S K. The law of attraction: Affinity-aware placement optimization using graph neural networks[C/OL]. The 2021 International Symposium on Physical Design, 2021: 7–14. [34] CHENG Ruoyu and YAN Junchi. On joint Learning for solving placement and routing in chip design[C/OL]. 35th Conference on Neural Information Processing Systems, 2021: 16508–16519. [35] KIRBY R, GODIL S, ROY R, et al. CongestionNet: Routing congestion prediction using deep graph neural networks[C]. 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC), Cuzco, Peru, 2019: 217–222. [36] GHOSE A, ZHANG V, ZHANG Yingxue, et al. Generalizable cross-graph embedding for GNN-based congestion prediction[C]. 2021 IEEE/ACM International Conference on Computer Aided Design (ICCAD), Munich, Germany, 2021: 1–9. [37] CHEN Xuan, DI Zhixiong, WU Wei, et al. Detailed routing short violation prediction using graph-based deep learning model[J]. IEEE Transactions on Circuits and Systems II:Express Briefs, 2022, 69(2): 564–568. doi: 10.1109/TCSII.2021.3093420 [38] TABRIZI A F, RAKAI L, DARAV N K, et al. A machine learning Framework to identify detailed routing short violations from a placed netlist[C]. 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), San Francisco, USA, 2018: 1–6. [39] LI Wenchao, GASCOM A, SUBRAMANYAN P, et al. WordRev: Finding word-level structures in a sea of bit-level gates[C]. 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), Austin, USA, 2013: 67–74. [40] LI Wenchao, WASSON Z, and SESHIA S A. Reverse engineering circuits using behavioral pattern mining[C]. 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, San Francisco, USA, 2012: 83–88. [41] SUBRAMANYAN P, TSISKARIDZE N, LI Wenchao, et al. Reverse engineering digital circuits using structural and functional analyses[J]. IEEE Transactions on Emerging Topics in Computing, 2014, 2(1): 63–80. doi: 10.1109/TETC.2013.2294918 [42] GASCÓM A, SUBRAMANYAN P, DUTERTRE B, et al. Template-based circuit understanding[C]. 2014 Formal Methods in Computer-Aided Design (FMCAD), Lausanne, Switzerland, 2014: 83–90. [43] ALRAHIS L, SENGUPTA A, KNECHTEL J, et al. GNN-RE: Graph neural networks for reverse engineering of gate-level netlists[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022, 41(8): 2435–2448. doi: 10.1109/TCAD.2021.3110807 [44] HE Zhuolun, WANG Ziyi, BAIL C, et al. Graph learning-based arithmetic block identification[C]. 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), Munich, Germany, 2021: 1–8. [45] YASAEI R, YU S Y, and AI FARUQUE M A. GNN4TJ: Graph neural networks for hardware Trojan detection at register transfer level[C]. 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 2021: 1504–1509. [46] HASEGAWA K, YAMASHITA K, HIDANO S, et al. Node-wise hardware trojan detection based on graph learning[J]. IEEE Transactions on Computers. To be published. [47] MURALIDHAR N, ZUBAIR A, WEIDLER N, et al. Contrastive graph convolutional networks for hardware Trojan detection in third party IP cores[C]. 2021 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), Tysons Corner, USA, 2021: 181–191. [48] CHENG Dong, DONG Chen, HE Wenwu, et al. GNN4Gate: A bi-directional graph neural network for gate-level hardware Trojan detection[C/OL]. 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2022: 1315–1320. [49] YASAEI R, YU S Y, NAEINI E K, et al. GNN4IP: Graph neural network for hardware intellectual property piracy detection[C]. 2021 58th ACM/IEEE Design Automation Conference (DAC), San Francisco, USA, 2021: 217–222. [50] ZHANG Yanqing, REN Haoxing, and KHAILANY B. GRANNITE: Graph neural network inference for transferable power estimation[C]. 2020 57th ACM/IEEE Design Automation Conference (DAC), San Francisco, USA, 2020: 1–6. [51] MA Yuzhe, REN Haoxing, KHAILANY B, et al. High performance graph convolutional networks with applications in testability analysis[C]. The 56th Annual Design Automation Conference 2019, Las Vegas, USA, 2019: 18. [52] CHAI Zhuomin, ZHAO Yuxiang, LIN Yibo, et al. CircuitNet: An open-source dataset for machine learning applications in electronic design automation (EDA)[J]. Science China Information Sciences, 2022, 65(12): 227401. doi: 10.1007/s11432-022-3571-8 [53] YU Yunxuan, ZHAO Tiandong, WANG Mingyu, et al. Uni-OPU: An FPGA-based uniform accelerator for convolutional and transposed convolutional networks[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2020, 28(7): 1545–1556. doi: 10.1109/TVLSI.2020.2995741 -