高级搜索

留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

面向寄存器传输级设计阶段的高效高精度功耗预测模型

李康 师瑞之 陈嘉伟 史江义 潘伟涛 王杰

李康, 师瑞之, 陈嘉伟, 史江义, 潘伟涛, 王杰. 面向寄存器传输级设计阶段的高效高精度功耗预测模型[J]. 电子与信息学报, 2023, 45(9): 3166-3174. doi: 10.11999/JEIT230359
引用本文: 李康, 师瑞之, 陈嘉伟, 史江义, 潘伟涛, 王杰. 面向寄存器传输级设计阶段的高效高精度功耗预测模型[J]. 电子与信息学报, 2023, 45(9): 3166-3174. doi: 10.11999/JEIT230359
LI Kang, SHI Ruizhi, CHEN Jiawei, SHI Jiangyi, PAN Weitao, WANG Jie. An Efficient and High-precision Power Consumption Prediction Model for the Register Transfer Level Design Phase[J]. Journal of Electronics & Information Technology, 2023, 45(9): 3166-3174. doi: 10.11999/JEIT230359
Citation: LI Kang, SHI Ruizhi, CHEN Jiawei, SHI Jiangyi, PAN Weitao, WANG Jie. An Efficient and High-precision Power Consumption Prediction Model for the Register Transfer Level Design Phase[J]. Journal of Electronics & Information Technology, 2023, 45(9): 3166-3174. doi: 10.11999/JEIT230359

面向寄存器传输级设计阶段的高效高精度功耗预测模型

doi: 10.11999/JEIT230359
详细信息
    作者简介:

    李康:男,博士,副教授,研究方向为EDA、SoC设计方法学

    师瑞之:男,硕士生,研究方向为EDA、SoC设计

    陈嘉伟:男,硕士生,研究方向为EDA、低功耗设计

    史江义:男,博士,教授,研究方向为SOC设计与设计方法学、低功耗设计、物理实现、硬件安全

    潘伟涛:男,博士,副教授,研究方向为SoC设计与设计方法学

    王杰:男,硕士生,研究方向为EDA、SoC物理实现

    通讯作者:

    李康 lik@mail.xidian.edu.cn

  • 中图分类号: TN406

An Efficient and High-precision Power Consumption Prediction Model for the Register Transfer Level Design Phase

  • 摘要: 功耗已成为电路设计的关键性能目标之一,现有商业工具PrimeTime PX(PTPX)的功耗预精度高,但是运行时间长,且仅面向已经生成网表的逻辑综合或者物理实现阶段。因此,降低功耗分析时间,且前移功耗预测在芯片设计中的环节变得尤为重要。该文提出一种面向千万门级专用集成电路(ASIC)的寄存器传输级(RTL)功耗预估方法,可在RTL设计阶段实现快速且准确的周期级功耗预测:根据输入信号的功耗相关性原则使用基于平滑截断绝对偏差惩罚项(SCAD)的嵌入法对输入信号自动筛选,从而解决大信号特征输入数量对预估性能的影响;通过时序对准方法对仿真波形数据进行校正,解决了sign-off级功耗与RTL级仿真波形之间的时序偏差问题,有效提升了模型预测的精度;建立了仅拥有两个卷积层和1个全连接层的浅层卷积神经网络模型,学习相邻位置和相邻时间上的信号活动与功耗的相关性信息,充分降低部署开销,使训练速度得到显著提高。该文使用开源数据集、28 nm工艺节点的3×107门级工业级芯片电路作为测试对象,实验结果表明,功耗预测结果和物理设计后PTPX分析结果相比,平均绝对百分比误差(MAPE)小于1.71%,11k时钟周期的功耗曲线预测耗时不到1.2 s。在场景交叉验证实验中,模型的预测误差小于4.5%。
  • 图  1  功耗预估方法示意图

    图  2  Lasso, MCP和SCAD的惩罚项示意图

    图  3  数据对齐前后汉明距离与功耗值局部放大图

    图  4  数据集构建示意图

    图  5  卷积神经网络模型示意图

    图  6  数据对齐前后CNN模型预估误差对比

    图  7  不同特征筛选方法后BP模型的预估误差对比

    图  8  特征筛选方法速度对比

    图  9  网络处理器不同模型预估误差对比

    表  1  神经网络模型的测试用例

    电路名称电路描述电路规模仿真场景(样本数)
    float-adder32位浮点加法器约1500门随机激励(220k)
    RISC-V Core简易的RISC-V核心约10000门单一场景测试(850k)
    AESAES加密算法约30000门随机测试(84k)
    MAC媒体访问控制层协议约400000门随机测试(95k)
    FIFO8位宽16深度的同步FIFO约1000门随机测试(80k)
    light8080简易的8080处理器约10000门随机测试(4 800k)
    下载: 导出CSV

    表  2  不同模型的预测误差(MAPE)(%)

    电路名称CNNLSTM线性
    回归
    BPResNet18CNN_PRIMAL
    float-adder4.294.324.394.386.442.83
    RISC-V Core0.230.080.780.664.960.39
    AES0.190.560.340.811.751.85
    MAC1.150.343.441.894.63
    FIFO4.784.774.804.855.06
    light80800.110.050.140.152.95
    平均误差1.791.692.312.124.30
    下载: 导出CSV

    表  3  不同模型训练耗时(s)

    电路名称CNNLSTM线性回归BPResNet18CNN_PRIMAL
    float-adder1.478.251.641.4510.3423.41
    RISC-V Core1.0363.550.802.5243.3919.52
    AES1.03263.690.674.9856.1925.80
    MAC0.55128.530.311.7018.28
    FIFO0.275.200.220.311.92
    light80801.6931.641.301.7024.67
    总耗时6.03500.864.9412.67154.80
    下载: 导出CSV

    表  4  场景交叉验证结果

    测试场景样本数量建模耗时(s)预测耗时(s)预测误差
    MAPE(%)
    动作测试约11k451.351.204.41
    单播流控分类约5.6k661.740.601.86
    单播线速约4k698.760.431.79
    单播学习约3.4k713.610.381.76
    组播测试约1.5k776.250.192.82
    下载: 导出CSV
  • [1] RAUT K J, CHITRE A V, DESHMUKH M S, et al. Low power VLSI design techniques: A review[J]. Journal of University of Shanghai for Science and Technology, 2021, 23(11): 172–183. doi: 10.51201/JUSST/21/11881
    [2] REN Haoxing and HU Jiang. Machine Learning Applications in Electronic Design Automation[M]. Cham: Springer, 2023.
    [3] SROUR M. Data-dependent cycle-accurate power modeling of RTL-level IPs using machine learning[D]. [Master dissertation], The University of Texas at Austin, 2018.
    [4] DHOTRE H, EGGERSGLÜß S, CHAKRABARTY K, et al. Machine learning-based prediction of test power[C]. 2019 IEEE European Test Symposium (ETS), Baden-Baden, Germany, 2019: 1–6.
    [5] NASSER Y, SAU C, PRÉVOTET J C, et al. NeuPow: A CAD methodology for high-level power estimation based on machine learning[J]. ACM Transactions on Design Automation of Electronic Systems, 2020, 25(5): 41. doi: 10.1145/3388141
    [6] ZHOU Yuan, REN Haoxing, ZHANG Yanqing, et al. PRIMAL: Power inference using machine learning[C]. The 56th Annual Design Automation Conference 2019, Las Vegas, USA, 2019: 39.
    [7] KIM D, ZHAO J, BACHRACH J, et al. Simmani: Runtime power modeling for arbitrary RTL with automatic signal selection[C]. The 52nd Annual IEEE/ACM International Symposium on Microarchitecture, Columbus, USA, 2019: 1050–1062.
    [8] XIE Zhiyao, XU Xiaoqing, WALKER M, et al. APOLLO: An automated power modeling framework for runtime power introspection in high-volume commercial microprocessors[C/OL]. MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021: 1–14.
    [9] PUNDIR N, PARK J, FARAHMANDI F, et al. Power side-channel leakage assessment framework at register-transfer level[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2022, 30(9): 1207–1218. doi: 10.1109/TVLSI.2022.3175067
    [10] HUANG Guyue, HU Jingbo, HE Yifan, et al. Machine learning for electronic design automation: A survey[J]. ACM Transactions on Design Automation of Electronic Systems, 2021, 26(5): 40. doi: 10.1145/3451179
    [11] FAN Jianqing and LI Runze. Variable selection via nonconcave penalized likelihood and its oracle properties[J]. Journal of the American statistical Association, 2001, 96(456): 1348–1360. doi: 10.1198/016214501753382273
    [12] TIAN Yingjie and ZHANG Yuqi. A comprehensive survey on regularization strategies in machine learning[J]. Information Fusion, 2022, 80: 146–166. doi: 10.1016/j.inffus.2021.11.005
    [13] SCHÜRMANS S, ONNEBRINK G, LEUPERS R, et al. ESL power estimation using virtual platforms with black box processor models[C]. The 2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), Samos, Greece, 2015: 354–359.
    [14] ZHANG Xianda. Modern Signal Processing[M]. Tsinghua University Press, 2022: 497–564.
    [15] ZHOU Guochang, GUO Baolong, GAO Xiang, et al. A FPGA power estimation method based on an improved BP neural network[C]. 2015 International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP), Adelaide, Australia, 2015: 251–254,
    [16] HE Kaiming, ZHANG Xiangyu, REN Shaoqing, et al. Deep residual learning for image recognition[C]. The 2016 IEEE Conference on Computer Vision and Pattern Recognition, Las Vegas, USA, 2016: 770–778.
    [17] CHHABRIA V A, AHUJA V, PRABHU A, et al. Thermal and IR drop analysis using convolutional encoder-decoder networks[C]. The 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, 2021: 690–696.
    [18] FARAWAY J J. Linear Models with R[M]. 2nd ed. New York: CRC Press, 2014.
    [19] JEON H and OH S. Hybrid-recursive feature elimination for efficient feature selection[J]. Applied Sciences, 2020, 10(9): 3211. doi: 10.3390/app10093211
    [20] XUAN Yi, SI Weiguo, ZHU Zhu, et al. Multi-model fusion short-term load forecasting based on random forest feature selection and hybrid neural network[J]. IEEE Access, 2021, 9: 69002–69009. doi: 10.1109/ACCESS.2021.3051337
  • 加载中
图(9) / 表(4)
计量
  • 文章访问数:  293
  • HTML全文浏览量:  128
  • PDF下载量:  56
  • 被引次数: 0
出版历程
  • 收稿日期:  2023-05-04
  • 修回日期:  2023-08-21
  • 网络出版日期:  2023-08-25
  • 刊出日期:  2023-09-27

目录

    /

    返回文章
    返回