高级搜索

留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

一种嵌入铁电晶体管内容寻址存储器的高能效浮点运算结构

张力 高迪 陈烁 卢旭东 庞展曦 陈闯涛 尹勋钊 卓成

张力, 高迪, 陈烁, 卢旭东, 庞展曦, 陈闯涛, 尹勋钊, 卓成. 一种嵌入铁电晶体管内容寻址存储器的高能效浮点运算结构[J]. 电子与信息学报, 2021, 43(6): 1518-1524. doi: 10.11999/JEIT200979
引用本文: 张力, 高迪, 陈烁, 卢旭东, 庞展曦, 陈闯涛, 尹勋钊, 卓成. 一种嵌入铁电晶体管内容寻址存储器的高能效浮点运算结构[J]. 电子与信息学报, 2021, 43(6): 1518-1524. doi: 10.11999/JEIT200979
Li ZHANG, Di GAO, Shuo CHEN, Xudong LU, Zhanxi PANG, Chuangtao CHEN, Xunzhao YIN, Cheng ZHUO. An Energy Efficient Floating Point Computing Infrastructure Embedding Ferroelectric Field Effect Transistor Based Ternary Content Addressable Memories[J]. Journal of Electronics & Information Technology, 2021, 43(6): 1518-1524. doi: 10.11999/JEIT200979
Citation: Li ZHANG, Di GAO, Shuo CHEN, Xudong LU, Zhanxi PANG, Chuangtao CHEN, Xunzhao YIN, Cheng ZHUO. An Energy Efficient Floating Point Computing Infrastructure Embedding Ferroelectric Field Effect Transistor Based Ternary Content Addressable Memories[J]. Journal of Electronics & Information Technology, 2021, 43(6): 1518-1524. doi: 10.11999/JEIT200979

一种嵌入铁电晶体管内容寻址存储器的高能效浮点运算结构

doi: 10.11999/JEIT200979
基金项目: 国家自然科学基金(61974133, 62034007),浙江省重点研发计划(2020C01052)
详细信息
    作者简介:

    张力:男,1989年生,博士后,研究方向为人工智能算法及硬件加速、集成电路设计

    高迪:女,1995年生,博士生,研究方向为新型计算架构、人工智能算法及硬件加速

    尹勋钊:男,1991年生,研究员,研究方向为新型器件、电路、架构跨层协同设计

    卓成:男,1981年生,研究员,研究方向为低功耗芯片设计、人工智能算法及硬件加速、3D芯片设计及优化

    通讯作者:

    卓成 czhuo@zju.edu.cn

  • 中图分类号: TN432

An Energy Efficient Floating Point Computing Infrastructure Embedding Ferroelectric Field Effect Transistor Based Ternary Content Addressable Memories

Funds: The National Natural Science Foundation of China(61974133, 62034007), Zhejiang Provincial Key R&D program(2020C01052)
  • 摘要: 随着数据密集型应用的日益增多,内存墙问题已成为制约计算效率的瓶颈。该文提出一种新型的浮点数(FP)运算结构,该结构嵌入了基于铁电场效应晶体管(FeFET)的三元内容寻址存储器(TCAM)以实现高效的计算。通过特定规则设计的超高密度TCAM结构,可以用能效更高的TCAM搜索操作代替部分传统浮点运算,从而节约整体能耗。仿真实验证明,该文所提结构和运算执行流程,与常规浮点运算单元(FPU)相比,可以降低多达33%的能耗。
  • 图  1  FeFET的器件结构和I-V特征曲线

    图  2  嵌入FeFET TCAM的浮点运算结构及其操作方案

    图  3  2FeFET TCAM单元电路和阵列版图结构

    图  4  FeFET TCAM单元的写入方法

    图  5  FeFET TCAM的搜索操作流程与仿真波形

    图  6  不同工艺的TCAM在各种阵列尺寸下做搜索操作的能耗

    图  7  多个脚本测试不同TCAM实现的浮点运算结构的能耗

    表  1  不同TCAM实现方式的性能对比

    单元结构单元面积(μm2)写入能耗(fJ)搜索能耗(fJ)延迟时间(ps)
    16T CMOS[3]1.12309.24126.7582.3
    2T2R ReRAM[8]0.41288000.04172.3350.6
    4T 2FeFET[6]0.65512.32177.11013.0
    2FeFET0.1589.91717.5340.8
    下载: 导出CSV
  • [1] WONG H S P and SALAHUDDIN S. Memory leads the way to better computing[J]. Nature Nanotech, 2015, 10(3): 191–194. doi: 10.1038/nnano.2015.29
    [2] KARAM R, PURI R, GHOSH S, et al. Emerging trends in design and applications of memory-based computing and content-addressable memories[J]. Proceedings of the IEEE, 2015, 103(8): 1311–1330. doi: 10.1109/JPROC.2015.2434888
    [3] LI Jing, MONTOYE R K, ISHII M, et al. 1 Mb 0.41 µm2 2T-2R cell nonvolatile TCAM with two-bit encoding and clocked self-referenced sensing[J]. IEEE Journal of Solid-State Circuits, 2014, 49(4): 896–907. doi: 10.1109/JSSC.2013.2292055
    [4] GHOFRANI A, RAHIMI A, LASTRAS-MONTAÑO M A, et al. Associative memristive memory for approximate computing in GPUs[J]. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2016, 6(2): 222–234. doi: 10.1109/JETCAS.2016.2538618
    [5] IMANI M, RAHIMI A, and ROSING T S. Resistive configurable associative memory for approximate computing[C]. 2016 Design, Automation & Test in Europe Conference & Exhibition, Dresden, Germany, 2016: 1327–1332.
    [6] YIN Xunzhao, NIEMIER M, and HU X S. Design and benchmarking of ferroelectric FET based TCAM[C]. Design, Automation & Test in Europe Conference & Exhibition, Lausanne, Switzerland, 2017: 1444–1449.
    [7] NI Kai, YIN Xunzhao, LAGUNA A F, et al. Ferroelectric ternary content-addressable memory for one-shot learning[J]. Nature Electronics, 2019, 2(11): 521–529. doi: 10.1038/s41928-019-0321-3
    [8] YIN Xunzhao, LI Chao, HUANG Qingrong, et al. FeCAM: A universal compact digital and analog content addressable memory using ferroelectric[J]. IEEE Transactions on Electron Devices, 2020, 67(7): 2785–2792. doi: 10.1109/TED.2020.2994896
    [9] REIS D, NI Kai, CHAKRABORTY W, et al. Design and analysis of an ultra-dense, low-leakage, and fast FeFET-based random access memory array[J]. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits, 2019, 5(2): 103–112. doi: 10.1109/JXCDC.2019.2930284
    [10] PAGIAMTZIS K and SHEIKHOLESLAMI A. Content-addressable memory (CAM) circuits and architectures: A tutorial and survey[J]. IEEE Journal of Solid-State Circuits, 2006, 41(3): 712–727. doi: 10.1109/JSSC.2005.864128
    [11] NI Kai, JERRY M, SMITH J A, et al. A circuit compatible accurate compact model for ferroelectric-FETs[C]. 2018 IEEE Symposium on VLSI Technology, Honolulu, USA, 2018: 131–132.
    [12] SONG T K. Landau-Khalatnikov simulations for ferroelectric switching in ferroelectric random access memory application[J]. Journal of the Korean Physical Society, 2005, 46(1): 5–9.
    [13] JASON P, HESTNESS J, ORR M S, et al. gem5-gpu: A Heterogeneous CPU-GPU Simulator[J]. IEEE Computer Architecture Letters, 2015, 14(1): 34–36. doi: 10.1109/LCA.2014.2299539
    [14] FloPoCo[EB/OL]. http://flopoco.gforge.inria.fr.
    [15] Caltech 101[EB/OL]. http://www.vision.caltech.edu/Image_Datasets/Caltech101.
  • 加载中
图(7) / 表(1)
计量
  • 文章访问数:  887
  • HTML全文浏览量:  373
  • PDF下载量:  98
  • 被引次数: 0
出版历程
  • 收稿日期:  2020-11-18
  • 修回日期:  2021-04-19
  • 网络出版日期:  2021-05-07
  • 刊出日期:  2021-06-18

目录

    /

    返回文章
    返回