高级搜索

留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

近阈值电压下可容错的末级缓存结构设计

刘伟 魏志刚 杜薇 曹广义 王伟

刘伟, 魏志刚, 杜薇, 曹广义, 王伟. 近阈值电压下可容错的末级缓存结构设计[J]. 电子与信息学报, 2018, 40(7): 1759-1766. doi: 10.11999/JEIT170989
引用本文: 刘伟, 魏志刚, 杜薇, 曹广义, 王伟. 近阈值电压下可容错的末级缓存结构设计[J]. 电子与信息学报, 2018, 40(7): 1759-1766. doi: 10.11999/JEIT170989
LIU Wei, WEI Zhigang, DU Wei, CAO Guangyi, WANG Wei. Fault-tolerant Last Level Cache Architecture Design at Near-threshold Voltage[J]. Journal of Electronics & Information Technology, 2018, 40(7): 1759-1766. doi: 10.11999/JEIT170989
Citation: LIU Wei, WEI Zhigang, DU Wei, CAO Guangyi, WANG Wei. Fault-tolerant Last Level Cache Architecture Design at Near-threshold Voltage[J]. Journal of Electronics & Information Technology, 2018, 40(7): 1759-1766. doi: 10.11999/JEIT170989

近阈值电压下可容错的末级缓存结构设计

doi: 10.11999/JEIT170989
基金项目: 

国家自然科学基金(61672384),教育部人文社科项目(16YJCZH014),湖北省自然科学基金(2016CFB466),中央高校基本科研业务费(WUT: 2016III028, 2017III028-005) , 湖北省技术创新专项重大项目(2017AAA122)

详细信息
    作者简介:

    刘伟:刘 伟: 男,1978年生,博士,副教授,主要研究方向为低功耗系统结构、云计算与服务计算. 魏志刚: 男,1993年生,硕士生,研究方向为低功耗系统结构、近阈值计算. 杜 薇: 女,1978年生,博士,副教授,主要研究方向为绿色计算、云计算与服务计算. 曹广义: 男,1984年生,博士,讲师,主要研究方向为云计算、绿色计算. 王 伟: 男,1979年生,博士,副教授,主要研究方向为绿色计算、云计算.

  • 中图分类号: TP302.8

Fault-tolerant Last Level Cache Architecture Design at Near-threshold Voltage

Funds: 

The National Natural Science Foundation of China (61672384), The Ministry of Education of Humanities and Social Science project (16YJCZH014), The Natural Science Foundation of Hubei Province (2016CFB466), The Fundamental Research Funds for the Central Universities (WUT: 2016III028, 2017III028-005), Major Program of Technical Innovation Special Program in Hubei Province of China (2017AAA122)

  • 摘要: 近阈值电压技术通过降低晶体管的电源电压来降低芯片能耗和提升能效。但是,近阈值电压技术会在Cache中引起大量位错误,严重影响末级缓存的功能。针对近阈值电压下超过1%的位错误率造成的Cache故障问题,该文提出一种基于传统6T SRAM单元的可容错的末级缓存结构(FTLLC)。该策略对缓存条目中的错误进行了低错纠正和多错压缩,提高了Cache中数据保存的可靠性。为了验证FTLLC的有效性,该文在gem5中实现了该结构,并运行了SPEC CPU2006测试集进行仿真实验。结果表明,对于650 mV电压下65 nm工艺的末级缓存,FTLLC与Concertina压缩机制相比在4-Byte粒度下末级缓存可用容量增加了24.9%,性能提高了7.2%,末级缓存的访存缺失率下降了58.2%,而面积和能耗开销仅有少量增加。
  • ALAMELDEEN A R, WAGNER I, CHISHTI Z, et al. Energy-efficient cache design using variable-strength error-correcting codes[C]. Proceedings of the 38th Annual International Symposium on Computer Architecture, New York, 2011: 461-472. doi: 10.1145/2000064.2000118.
    [2] DRESLINSKI R G, WIECKOWSKI M, BLAAUW D, et al. Near-threshold computing: Reclaiming Moore's Law through energy efficient integrated circuits[J]. Proceedings of the IEEE, 2010, 98(2): 253-266. doi: 10.1109/JPROC.2009.2034764.
    ZHANG Yonghuan and JIANG Yanfeng. Research progress of near threshold voltage circuits[J]. Microelectronics, 2016, 46(1): 107-112. doi: 10.13911/j.cnki.1004-3365.2016.01.024.
    [4] CHISHTI Z, ALAMELDEEN A R, WILKERSON C, et al. Improving cache lifetime reliability at ultra-low voltages[C]. Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, New York, 2009: 89-99. doi: 10.1145/1669112.1669126.
    [5] HIJAZ F, SHI Qingchuan, and KHAN O. A private level-1 cache architecture to exploit the latency and capacity tradeoffs in multicores operating at near-threshold voltages [C]. IEEE 31st International Conference on Computer Design, Asheville, 2013: 85-92. doi: 10.1109/ICCD.2013.6657029.
    ZHAO Cai, DING Yonglin, and CHEN Zhijian. Fault- tolerance cache research based on mixed ECC[J]. Application Research of Computers, 2016, 33(2): 444-446. doi: 10.3969/ j.issn.1001-3695.2016.02.029.
    [7] DUWE H, JIAN Xun, PETRISKO D, et al. Rescuing uncorrectable fault patterns in on-chip memories through error pattern transformation[C]. Proceedings of the 43rd International Symposium on Computer Architecture, Seoul, 2016: 634-644. doi: 10.1109/ISCA.2016.61.
    [8] WANG Jing, LIU Yanjun, ZHANG Weigong, et al. Exploring variation-aware fault-tolerant cache under near-threshold computing[C]. 45th International Conference on Parallel Processing, Philadelphia, 2016: 149-158. doi: 10.1109/ICPP. 2016.24.
    [9] FERRERÓN A, SUÁREZ-GRACIA D, ALASTRUEY- BENEDÉ J, et al. Concertina: Squeezing in cache content to operate at near-threshold voltage[J]. IEEE Transactions on Computers, 2016, 65(3): 755-769. doi: 10.1109/TC.2015. 2479585.
    [10] WANG Ying, HAN Yinhe, LI Huawei, et al. VANUCA: Enabling near-threshold voltage operation in large-capacity cache[J]. IEEE Transactions on Very Large Scale Integration Systems, 2016, 24(3): 858-870. doi: 10.1109/TVLSI.2015. 2424440.
    [11] JUNG D, LEE H, and KIM S W. Lowering minimum supply voltage for power-efficient cache design by exploiting data redundancy[J]. ACM Transactions on Design Automation of Electronic Systems, 2015, 21(1): 1-24. doi: 10.1145/2795229.
    [12] CALHOUN B H and CHANDRAKASAN A P. A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation[J]. IEEE Journal of Solid-State Circuits, 2007, 42(3): 680-688. doi: 10.1109/JSSC.2006.891726.
    YANG Kun. Low power SRAM research and design under near-threshold voltage supply[D]. [Master dissertation], Shanghai Jiao Tong University, 2011.
    QI Beibei. The design of near-threshold adiabatic SRAM[D]. [Master dissertation], Ningbo University, 2015.
    YU Yuqing, WANG Tianqi, QI Chunhua, et al. The analysis of the stability of 65nm SRAM at near-threshold region[J]. Microelectronics & Computer, 2017, 34(1): 26-29. doi: 10.19304/j.cnki.issn1000-7180.2017.01.006.
    [16] HENNING J L. SPEC CPU2006 benchmark descriptions[J]. ACM SIGARCH Computer Architecture News, 2006, 34(4): 1-17. doi: 10.1145/1186736.1186737.
    [17] DUWE H, JIAN Xun, and KUMAR R. Correction prediction: Reducing error correction latency for on-chip memories[C]. IEEE 21st International Symposium on High Performance Computer Architecture, California, 2015: 463-475. doi: 10.1109/HPCA.2015.7056055.
    [18] MURALIMANOHAR N, BALASUBRAMONIAN R, and JOUPPI N P. Optimizing NUCA organizations and wiring alternatives for large Caches with CACTI 6.0[C]. Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, Chicago, 2007: 3-14. doi: 10.1109/MICRO. 2007.33.
    [19] BINKERT N, BECKMANN B, BLACK G, et al. The gem5 simulator[J]. ACM SIGARCH Computer Architecture News, 2011, 39(2): 1-7. doi: 10.1145/2024716.2024718.
    [20] LI Sheng, AHN J H, STRONG R D, et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures[C]. Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, New York, 2010: 469-480. doi: 10.1145/ 1669112.1669172.
  • 加载中
计量
  • 文章访问数:  1347
  • HTML全文浏览量:  135
  • PDF下载量:  35
  • 被引次数: 0
出版历程
  • 收稿日期:  2017-10-23
  • 修回日期:  2018-04-03
  • 刊出日期:  2018-07-19

目录

    /

    返回文章
    返回