Advanced Search
Volume 45 Issue 1
Jan.  2023
Turn off MathJax
Article Contents
SONG Tai, HUANG Zhengfeng, XU Hui. Linear Discriminant Analysis Algorithm for Detecting Hardware Trojans Delay[J]. Journal of Electronics & Information Technology, 2023, 45(1): 59-67. doi: 10.11999/JEIT220389
Citation: SONG Tai, HUANG Zhengfeng, XU Hui. Linear Discriminant Analysis Algorithm for Detecting Hardware Trojans Delay[J]. Journal of Electronics & Information Technology, 2023, 45(1): 59-67. doi: 10.11999/JEIT220389

Linear Discriminant Analysis Algorithm for Detecting Hardware Trojans Delay

doi: 10.11999/JEIT220389
Funds:  The National Natural Science Foundation of China (61874156, 62174001), Anhui Province Foundation (202104b11020032, 2208085J02)
  • Received Date: 2022-04-02
  • Rev Recd Date: 2022-06-29
  • Available Online: 2022-07-21
  • Publish Date: 2023-01-17
  • To solve the security problems of long chip production chain, poor security and low reliability, leading to prevent Hardware Trojan (HT) detection, an HT detection method based on bypass signal analysis is proposed, by means of Linear Discriminant Analysis (LDA) classification algorithm to find the difference in time delay so as to distinguish HT. Then, the polynomial regression algorithm is used to fit the delay feature of the Trojan, and the feature library of the Trojan is established based on the regression function. The experimental results show that the proposed LDA combined with linear regression algorithm can identify HT circuits according to the delay feature, and its HT detection rate is better than other methods. Moreover, it reduces the difficulty of Trojan horse detection as the scale of the circuit increases. Through the research of this method, it has an important guiding role in identifying HT circuits and improving chip security and reliability.
  • loading
  • [1]
    AHMED A, FARAHMANDI F, ISKANDER Y, et al. Scalable hardware Trojan activation by interleaving concrete simulation and symbolic execution[C]. Proceedings of 2018 IEEE International Test Conference, Phoenix, USA, 2018: 1–10.
    [2]
    HAIDER S K, JIN Chenglu, AHMAD M, et al. Advancing the state-of-the-art in hardware Trojans detection[J]. IEEE Transactions on Dependable and Secure Computing, 2019, 16(1): 18–32. doi: 10.1109/TDSC.2017.2654352
    [3]
    明小满. 中国集成电路的发展现状与发展建议[J]. 通讯世界, 2017(4): 273–274. doi: 10.3969/j.issn.1006-4222.2017.04.200

    MING Xiaoman. The development status and development suggestions of China's integrated circuits[J]. Telecom World, 2017(4): 273–274. doi: 10.3969/j.issn.1006-4222.2017.04.200
    [4]
    ZHOU Bin, ZHANG Wei, THAMBIPILLAI S, et al. Cost-efficient acceleration of hardware Trojan detection through fan-out cone analysis and weighted random pattern technique[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 35(5): 792–805. doi: 10.1109/TCAD.2015.2460551
    [5]
    SONG Tai, NI Tianming, HUANG Zhengfeng, et al. Valid test pattern identification for VLSI adaptive test[J]. Integration, 2022, 82: 1–6. doi: 10.1016/j.vlsi.2021.08.009
    [6]
    CHAKRABORTY R S, NARASIMHAN S, and BHUNIA S. Hardware Trojan: Threats and emerging solutions[C]. Proceedings of 2009 IEEE International High Level Design Validation and Test Workshop, San Francisco, USA, 2009: 166–171.
    [7]
    SABRI M, SHABANI A, and ALIZADEH B. SAT-based integrated hardware Trojan detection and localization approach through path-delay analysis[J]. IEEE Transactions on Circuits and Systems II:Express Briefs, 2021, 68(8): 2850–2854. doi: 10.1109/TCSII.2021.3074549
    [8]
    许强, 蒋兴浩, 姚立红, 等. 硬件木马检测与防范研究综述[J]. 网络与信息安全学报, 2017, 3(4): 1–13. doi: 10.11959/j.issn.2096-109x.2017.00160

    XU Qiang, JIANG Xinghao, YAO Lihong, et al. Overview of the detection and prevention study of hardware Trojans[J]. Chinese Journal of Network and Information Security, 2017, 3(4): 1–13. doi: 10.11959/j.issn.2096-109x.2017.00160
    [9]
    尹勇生, 汪涛, 陈红梅, 等. 硬件木马技术研究进展[J]. 微电子学, 2017, 47(2): 233–238. doi: 10.13911/j.cnki.1004-3365.2017.02.021

    YIN Yongsheng, WANG Tao, CHEN Hongmei, et al. Study on hardware Trojan technology[J]. Microelectronics, 2017, 47(2): 233–238. doi: 10.13911/j.cnki.1004-3365.2017.02.021
    [10]
    李莹, 陈岚, 佟鑫. 指令级功耗特征的硬件木马检测高效机器学习(英文)[J]. 中国科学院大学学报, 2021, 38(4): 494–502. doi: 10.7523/j.issn.2095-6134.2021.04.008

    LI Ying, CHEN Lan, and TONG Xin. Efficient machine learning methods for hardware Trojan detection using instruction-level power character[J]. Journal of University of Chinese Academy of Sciences, 2021, 38(4): 494–502. doi: 10.7523/j.issn.2095-6134.2021.04.008
    [11]
    HU Wei, CHANG C H, SENGUPTA A, et al. An overview of hardware security and trust: Threats, countermeasures, and design tools[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021, 40(6): 1010–1038. doi: 10.1109/TCAD.2020.3047976
    [12]
    倪林, 李少青, 马瑞聪, 等. 硬件木马检测与防护[J]. 数字通信, 2014, 41(1): 59–63,68. doi: 10.3969/j.issn.1005-3824.2014.01.016

    NI Lin, LI Shaoqing, MA Ruicong, et al. Hardware Trojans detection and protection[J]. Digital Communication, 2014, 41(1): 59–63,68. doi: 10.3969/j.issn.1005-3824.2014.01.016
    [13]
    詹慕文. 基于衬底电流传感器的安全芯片防激光错误注入攻击研究[D]. [硕士论文], 湖北大学, 2021.

    ZHAN Muwen. Research on the security chip based on bulk current sensor against laser fault injection attack[D]. [Master dissertation], Hubei University, 2021.
    [14]
    李雄伟, 徐璐, 张阳, 等. 面向硬件木马检测的旁路信号特征选择方法[J]. 计算机工程与应用, 2017, 53(21): 258–262. doi: 10.3778/j.issn.1002-8331.1605-0149

    LI Xiongwei, XU Lu, ZHANG Yang, et al. Side channel signals feature selection method oriented to hardware Trojan detection[J]. Computer Engineering and Applications, 2017, 53(21): 258–262. doi: 10.3778/j.issn.1002-8331.1605-0149
    [15]
    SHENDE R and AMBAWADE D D. A side channel based power analysis technique for hardware Trojan detection using statistical learning approach[C]. Proceedings of the 2016 13th International Conference on Wireless and Optical Communications Networks, Hyderabad, India, 2016: 1–4.
    [16]
    SALMANI H. Gradual-N-justification (GNJ) to reduce false-positive hardware Trojan detection in gate-level netlist[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2022, 30(4): 515–525. doi: 10.1109/TVLSI.2022.3143349
    [17]
    NGUYEN L N, YILMAZ B B, PRVULOVIC M, et al. A novel golden-chip-free clustering technique using backscattering side channel for hardware Trojan detection[C]. Proceedings of 2020 IEEE International Symposium on Hardware Oriented Security and Trust, San Jose, USA, 2020: 1–12.
    [18]
    STERN A, MEHTA D, TAJIK S, et al. SPARTA: A laser probing approach for Trojan detection[C]. Proceedings of 2020 IEEE International Test Conference, Washington, USA, 2020: 1–10.
    [19]
    KURIHARA T, HASEGAWA K, and TOGAWA N. Evaluation on hardware-Trojan detection at gate-level IP cores utilizing machine learning methods[C]. Proceedings of the 2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design, Napoli, Italy, 2020: 1–4.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Figures(10)  / Tables(4)

    Article Metrics

    Article views (447) PDF downloads(72) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return