Advanced Search
Volume 43 Issue 6
Jun.  2021
Turn off MathJax
Article Contents
Li ZHANG, Di GAO, Shuo CHEN, Xudong LU, Zhanxi PANG, Chuangtao CHEN, Xunzhao YIN, Cheng ZHUO. An Energy Efficient Floating Point Computing Infrastructure Embedding Ferroelectric Field Effect Transistor Based Ternary Content Addressable Memories[J]. Journal of Electronics & Information Technology, 2021, 43(6): 1518-1524. doi: 10.11999/JEIT200979
Citation: Li ZHANG, Di GAO, Shuo CHEN, Xudong LU, Zhanxi PANG, Chuangtao CHEN, Xunzhao YIN, Cheng ZHUO. An Energy Efficient Floating Point Computing Infrastructure Embedding Ferroelectric Field Effect Transistor Based Ternary Content Addressable Memories[J]. Journal of Electronics & Information Technology, 2021, 43(6): 1518-1524. doi: 10.11999/JEIT200979

An Energy Efficient Floating Point Computing Infrastructure Embedding Ferroelectric Field Effect Transistor Based Ternary Content Addressable Memories

doi: 10.11999/JEIT200979
Funds:  The National Natural Science Foundation of China(61974133, 62034007), Zhejiang Provincial Key R&D program(2020C01052)
  • Received Date: 2020-11-18
  • Rev Recd Date: 2021-04-19
  • Available Online: 2021-05-07
  • Publish Date: 2021-06-18
  • With the growing abundance of data-intensive applications, memory wall has become a bottleneck to computing efficiency. A novel Floating Point (FP) computing infrastructure that embeds Ferroelectric Field Effect Transistor (FeFET) based Ternary Content Addressable Memories (TCAMs) for energy efficient computing is proposed. With an ultra-dense TCAM implementation following the designed guidelines, the infrastructure can replace unnecessary Float Point Unit (FPU) executions with more efficient TCAM searching, thereby saving the overall energy consumption. Thanks to the proposed execution flow, the infrastructure can achieve up to 33% energy saving compared to regular FPUs.
  • loading
  • [1]
    WONG H S P and SALAHUDDIN S. Memory leads the way to better computing[J]. Nature Nanotech, 2015, 10(3): 191–194. doi: 10.1038/nnano.2015.29
    [2]
    KARAM R, PURI R, GHOSH S, et al. Emerging trends in design and applications of memory-based computing and content-addressable memories[J]. Proceedings of the IEEE, 2015, 103(8): 1311–1330. doi: 10.1109/JPROC.2015.2434888
    [3]
    LI Jing, MONTOYE R K, ISHII M, et al. 1 Mb 0.41 µm2 2T-2R cell nonvolatile TCAM with two-bit encoding and clocked self-referenced sensing[J]. IEEE Journal of Solid-State Circuits, 2014, 49(4): 896–907. doi: 10.1109/JSSC.2013.2292055
    [4]
    GHOFRANI A, RAHIMI A, LASTRAS-MONTAÑO M A, et al. Associative memristive memory for approximate computing in GPUs[J]. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2016, 6(2): 222–234. doi: 10.1109/JETCAS.2016.2538618
    [5]
    IMANI M, RAHIMI A, and ROSING T S. Resistive configurable associative memory for approximate computing[C]. 2016 Design, Automation & Test in Europe Conference & Exhibition, Dresden, Germany, 2016: 1327–1332.
    [6]
    YIN Xunzhao, NIEMIER M, and HU X S. Design and benchmarking of ferroelectric FET based TCAM[C]. Design, Automation & Test in Europe Conference & Exhibition, Lausanne, Switzerland, 2017: 1444–1449.
    [7]
    NI Kai, YIN Xunzhao, LAGUNA A F, et al. Ferroelectric ternary content-addressable memory for one-shot learning[J]. Nature Electronics, 2019, 2(11): 521–529. doi: 10.1038/s41928-019-0321-3
    [8]
    YIN Xunzhao, LI Chao, HUANG Qingrong, et al. FeCAM: A universal compact digital and analog content addressable memory using ferroelectric[J]. IEEE Transactions on Electron Devices, 2020, 67(7): 2785–2792. doi: 10.1109/TED.2020.2994896
    [9]
    REIS D, NI Kai, CHAKRABORTY W, et al. Design and analysis of an ultra-dense, low-leakage, and fast FeFET-based random access memory array[J]. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits, 2019, 5(2): 103–112. doi: 10.1109/JXCDC.2019.2930284
    [10]
    PAGIAMTZIS K and SHEIKHOLESLAMI A. Content-addressable memory (CAM) circuits and architectures: A tutorial and survey[J]. IEEE Journal of Solid-State Circuits, 2006, 41(3): 712–727. doi: 10.1109/JSSC.2005.864128
    [11]
    NI Kai, JERRY M, SMITH J A, et al. A circuit compatible accurate compact model for ferroelectric-FETs[C]. 2018 IEEE Symposium on VLSI Technology, Honolulu, USA, 2018: 131–132.
    [12]
    SONG T K. Landau-Khalatnikov simulations for ferroelectric switching in ferroelectric random access memory application[J]. Journal of the Korean Physical Society, 2005, 46(1): 5–9.
    [13]
    JASON P, HESTNESS J, ORR M S, et al. gem5-gpu: A Heterogeneous CPU-GPU Simulator[J]. IEEE Computer Architecture Letters, 2015, 14(1): 34–36. doi: 10.1109/LCA.2014.2299539
    [14]
    [15]
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Figures(7)  / Tables(1)

    Article Metrics

    Article views (942) PDF downloads(98) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return