Advanced Search
Volume 40 Issue 6
May  2018
Turn off MathJax
Article Contents
ZHANG Xingming, YUAN Kaijian, GAO Yanzhao. Coarse Grained Reconfigurable Architecture Loop Mapping Algorithm Based on Memory Partitioning and Path Reuse[J]. Journal of Electronics & Information Technology, 2018, 40(6): 1520-1524. doi: 10.11999/JEIT170748
Citation: ZHANG Xingming, YUAN Kaijian, GAO Yanzhao. Coarse Grained Reconfigurable Architecture Loop Mapping Algorithm Based on Memory Partitioning and Path Reuse[J]. Journal of Electronics & Information Technology, 2018, 40(6): 1520-1524. doi: 10.11999/JEIT170748

Coarse Grained Reconfigurable Architecture Loop Mapping Algorithm Based on Memory Partitioning and Path Reuse

doi: 10.11999/JEIT170748
Funds:

The National Science Technology Major Project (2016ZX01012101), The National Natural Science Foundation of China (61572520, 61521003)

  • Received Date: 2017-07-21
  • Rev Recd Date: 2017-12-18
  • Publish Date: 2018-06-19
  • The current research on Coarse Grained Reconfigurable Architecture (CGRA) loop mapping mainly focuses on operation placement and data routing, but seldom involves data mapping. To solve this problem, a mapping flow based on memory partitioning and path reuse is designed. Firstly, fine grained memory partitioning is used to find the data placement improving the parallelism of data access. Secondly, placement and routing is searched by modulo scheduling. Finally, the routing overhead model is used to balance memory routing and processing unit routing and path reuse strategy is introduced to optimize routing resources. Experimental results validate the performance of proposed approach in initiation interval, instruction per cycle and execution delay.
  • loading
  • PAGER J, JEYAPAUL R, and SHRIVASTAVA A. A software scheme for multithreading on CGRAs[J]. ACM Transactions on Embedded Computing Systems, 2015, 14(1): 19:1-19:26. doi: 10.1145/2638558.
    UL-ABDIN Z and SVENSSON B. A retargetable compilation framework for heterogeneous reconfigurable computing[J]. ACM Transactions on Reconfigurable Technology Systems, 2016, 9(4): 24:1-24:22. doi: 10.1145/2843946.
    YIN S, YAO X, LIU D, et al. Memory-aware loop mapping on coarse-grained reconfigurable architectures[J]. IEEE Transactions on Very Large Scale Integration Systems, 2016, 24(5): 1895-1908. doi: 10.1109/TVLSI.2015.2474129.
    THEOCHARIS P and SUTTER B. A bimodal scheduler for coarse-grained reconfigurable arrays[J]. ACM Transactions on Architecture and Code Optimization, 2016, 13(2): 15:1-15:26. doi: 10.1145/2893475.
    PARK H, FAN K, MAHLKE S A, et al. Edge-centric modulo scheduling for coarse-grained reconfigurable architectures[C]. International Conference on Parallel Architectures and Compilation Techniques, Toronto, Canada, 2008: 166-176. doi: 10.1145/1454115.1454140.
    KIM Y, LEE J, SHRIVASTAVA A, et al. Memory access optimization in compilation for coarse-grained reconfigurable architectures[J]. ACM Transactions on Design Automation of Electronic Systems, 2011, 16(4): 1-27. doi: 10.1145/2003695. 2003702.
    KIM Y, LEE J, SHRIVASTAVA A, et al. High throughput data mapping for coarse-grained reconfigurable architectures[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2011, 30(11): 1599-1609. doi: 10.1109/TCAD.2011.2161217.
    SU J, YANG F, ZENG X, et al. Efficient memory partitioning for parallel data access via data reuse[C]. ACM /SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, USA, 2016: 138-147. doi: 10.1145/ 2847263.2847264.
    YIN S, XIE Z, MENG C, et al. Multibank memory optimization for parallel data access in multiple data arrays [C]. International Conference on Computer-Aided Design, Austin, USA, 2016: 32:1-32:8. doi: 10.1145/2966986.2967056.
    YIN S, YAO X, LU T, et al. Joint loop mapping and data placement for coarse-grained reconfigurable architecture with multi-bank memory[C]. International Conference on Computer-Aided Design, Austin, USA, 2016: 127:1-127:8. doi: 10.1145/2966986.2967049.
    MUKHERJEE M, FELL A, and GUHA A. DFGenTool: A dataflow graph generation tool for coarse grain reconfigurable architectures[C]. International Conference on VLSI Design, Hyderabad, India, 2017: 67-72. doi: 10.1109/VLSID.2017.62.
    陈锐, 杨海钢, 王飞, 等. 基于自路由互连网络的粗粒度可重构阵列结构[J]. 电子与信息学报, 2014, 36(9): 2251-2257. doi: 10.3724/SP.J.1146.2013.01646.
    CHEN Rui, YANG Haigang, WANG Fei, et al. Coarse- grained reconfigurable array based on self-routing interconnection network[J]. Journal of Electronics Information Technology, 2014, 36(9): 2251-2257. doi: 10.3724 /SP.J.1146.2013.01646.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Article Metrics

    Article views (1306) PDF downloads(108) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return