Citation: | WANG Zhifei, HUANG Zhiwen, YE Tianchen, YE Bingyi, LI Fangzhu, WANG Wei, YU Dunshan, GAI Weixin. A 64 Gb/s Single-Ended Simultaneous Bi-Directional Transceiver for Die-to-Die Interfaces[J]. Journal of Electronics & Information Technology. doi: 10.11999/JEIT250506 |
[1] |
TAYLOR G, FARJADRAD R, and VINNAKOTA B. High capacity on-package physical link considerations[C]. IEEE Symposium on High-Performance Interconnects (HOTI), Santa Clara, USA, 2019: 19–22. doi: 10.1109/HOTI.2019.00018.
|
[2] |
SEO J, LEE S, LEE M, et al. A 20-Gb/s/pin 0.0024-mm2 single-ended DECS TRX with CDR-less Self-slicing/auto-deserialization to improve tolerance on duty cycle error and RX supply noise for DCC/CDR-less short-reach memory interfaces[C]. IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, USA, 2022: 1–3. doi: 10.1109/ISSCC42614.2022.9731763.
|
[3] |
RIE H N, YOON C S, BYUN J, et al. A 40-Gb/s/pin low-voltage POD single-ended PAM-4 transceiver with timing calibrated reset-less slicer and bidirectional T-coil for GDDR7 application[C]. IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, USA, 2022: 148–149. doi: 10.1109/VLSITechnologyandCir46769.2022.9830507.
|
[4] |
SEONG K, PARK D, BAE G, et al. A 4nm 32Gb/s 8Tb/s/mm die-to-die Chiplet using NRZ single-ended transceiver with equalization schemes and training techniques[C]. IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, USA, 2023: 114–116. doi: 10.1109/ISSCC42615.2023.10067477.
|
[5] |
NISHI Y, POULTON J W, TURNER W J, et al. A 0.297-pJ/bit 50.4-Gb/s/wire inverter-based short-reach simultaneous bi-directional transceiver for die-to-die interface in 5-nm CMOS[J]. IEEE Journal of Solid-State Circuits, 2023, 58(4): 1062–1073. doi: 10.1109/JSSC.2022.3232024.
|
[6] |
GU Junhui, MA J, CHOWDHURY A A, et al. A 32 Gb/s 0.36 pJ/bit 3 nm chiplet IO using 2.5-D CoWoS package with real-time and per-lane CDR and bathtub monitoring[J]. IEEE Journal of Solid-State Circuits, 2025, 60(4): 1289–1298. doi: 10.1109/JSSC.2025.3545483.
|
[7] |
PARK H, SONG J, LEE Y, et al. 23.3 A 3-bit/2UI 27Gb/s PAM-3 single-ended transceiver using one-tap DFE for next-generation memory interface[C]. IEEE International Solid-State Circuits Conference, San Francisco, USA, 2019: 382–384. doi: 10.1109/ISSCC.2019.8662462.
|
[8] |
PARK H, SONG J, SIM J, et al. 30-Gb/s 1.11-pJ/bit single-ended PAM-3 transceiver for high-speed memory links[J]. IEEE Journal of Solid-State Circuits, 2021, 56(2): 581–590. doi: 10.1109/JSSC.2020.3006864.
|
[9] |
FAN Yanghang, KUMAR A, IWAI T, et al. A 32-Gb/s simultaneous bidirectional source-synchronous transceiver with adaptive echo cancellation techniques[J]. IEEE Journal of Solid-State Circuits, 2020, 55(2): 439–451. doi: 10.1109/JSSC.2019.2956369.
|
[10] |
KO H G, SHIN S, OH J, et al. 6.7 An 8Gb/s/µm FFE-combined crosstalk-cancellation scheme for HBM on silicon interposer with 3D-staggered channels[C]. IEEE International Solid-State Circuits Conference, San Francisco, USA, 2020: 128–130. doi: 10.1109/ISSCC19947.2020.9063162.
|
[11] |
LEE S K, KIM B, PARK H J, et al. A 5 Gb/s single-ended parallel receiver with adaptive crosstalk-induced jitter cancellation[J]. IEEE Journal of Solid-State Circuits, 2013, 48(9): 2118–2127. doi: 10.1109/JSSC.2013.2264618.
|
[12] |
LEE J, LEE K, SIM J Y, et al. A 246-fJ/b 13.3-Tb/s/mm single-ended current-mode transceiver with crosstalk cancellation for shield-less short-reach interconnect[C]. IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, USA, 2024: 1–2. doi: 10.1109/VLSITechnologyandCir46783.2024.10631466.
|
[13] |
ZHONG Liping, WU Hongzhi, ZHANG Yangyi, et al. 7.6 A 112Gb/s/pin single-ended crosstalk-cancellation transceiver with 31dB loss compensation in 28nm CMOS[C]. IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, USA, 2024: 134–136. doi: 10.1109/ISSCC49657.2024.10454508.
|
[14] |
LIU Qian, DU Li, and DU Yuan. A 0.90-Tb/s/in 1.29-pJ/b wireline transceiver with single-ended crosstalk cancellation coding scheme for high-density interconnects[J]. IEEE Journal of Solid-State Circuits, 2023, 58(8): 2326–2336. doi: 10.1109/JSSC.2023.3261125.
|
[15] |
RAZAVI B. The strongARM latch [a circuit for all seasons][J]. IEEE Solid-State Circuits Magazine, 2015, 7(2): 12–17. doi: 10.1109/MSSC.2015.2418155.
|
[16] |
YE Bingyi, SHENG Kai, GAI Weixin, et al. A 2.29-pJ/b 112-Gb/s wireline transceiver with RX four-tap FFE for medium-reach applications in 28-nm CMOS[J]. IEEE Journal of Solid-State Circuits, 2023, 58(1): 19–29. doi: 10.1109/JSSC.2022.3223052.
|
[17] |
BOGATIN E. Signal and Power Integrity[M]. 3rd ed. New York: Pearson Education, 2018: 457: 533.
|
[18] |
ZHUANG Haoyu, CAO Wenzhen, PENG Xizhu, et al. A three-stage comparator and its modified version with fast speed and low kickback[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021, 29(7): 1485–1489. doi: 10.1109/TVLSI.2021.3077624.
|
[19] |
FIGUEIREDO P M and VITAL J C. Kickback noise reduction techniques for CMOS latched comparators[J]. IEEE Transactions on Circuits and Systems II: Express Briefs, 2006, 53(7): 541–545. doi: 10.1109/TCSII.2006.875308.
|
[20] |
UCIe Consortium. UCIeTM Specification 1.1 Universal chiplet interconnect expressTM[S]. UCIe, 2022. (查阅网上资料, 未找到本条文献出版地, 请确认).
|
[21] |
LI Guansheng, LEE W, CUI Delong, et al. Standing wave based clock distribution technique with application to a 10×11 Gbps transceiver in 28 nm CMOS[C]. IEEE Asian Solid-State Circuits Conference (A-SSCC), Xiamen, China, 2015: 1–4. doi: 10.1109/ASSCC.2015.7387451.
|
[22] |
LIN Mushan, TSAI C C, LI Shenggao, et al. 36.1 A 32Gb/s 10.5Tb/s/mm 0.6pJ/b UCIe-compliant low-latency interface in 3nm featuring matched-delay for dynamic clock gating[C]. IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, USA, 2025: 586–588. doi: 10.1109/ISSCC49661.2025.10904767.
|
[23] |
MELEK D T, NAVINKUMAR R, VANDERSAND J, et al. A 0.29pJ/b 5.27Tb/s/mm UCIe advanced package link in 3nm FinFET with 2.5D CoWoS packaging[C]. IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, USA, 2025: 590–592. doi: 10.1109/ISSCC49661.2025.10904754.
|