Advanced Search
Volume 40 Issue 3
Mar.  2018
Turn off MathJax
Article Contents
ZHONG Jingxin, WANG Jianye, KAN Baoqiang. Hardware Trojan Detection Through Temperature Characteristics Analysis[J]. Journal of Electronics & Information Technology, 2018, 40(3): 743-749. doi: 10.11999/JEIT170443
Citation: ZHONG Jingxin, WANG Jianye, KAN Baoqiang. Hardware Trojan Detection Through Temperature Characteristics Analysis[J]. Journal of Electronics & Information Technology, 2018, 40(3): 743-749. doi: 10.11999/JEIT170443

Hardware Trojan Detection Through Temperature Characteristics Analysis

doi: 10.11999/JEIT170443
  • Received Date: 2017-05-11
  • Rev Recd Date: 2017-09-18
  • Publish Date: 2018-03-19
  • Hardware Trojan is the malicious circuit modification which can disable the Integrated Circuit (IC) or leak confidential information covertly to the adversary, and brings potential safety hazard for ICs. In this paper, a new approach for hardware Trojan detection based on compare the temperature variation characteristics when IC starts working. Ring Oscillator (RO) is used as a detector to obtain the information about ICs temperature variation characteristics. In order to describe temperature variation characteristics accuracy, a parameter about the D-value of ROs oscillation cycle counts is presented, and parameters about the quality of the fitting curve are used to estimate the hardware Trojans effect on ICs temperature characteristics. Results from ten chips show that the proposed approach is effective towards increasing successful detection ratio and can achieve better Trojan detection probability 100% on average over conventional patterns for Trojan which is 32 logic elements, and for Trojan which is 16 logic elements can also achieve Trojan detection probability 90%, besides the proposed approach locating the Trojans insertion place roughly.
  • loading
  • 刘长龙. 基于侧信道分析的硬件木马检测技术研究[D]. [博士论文], 天津大学, 2013: 1-8.
    LIU C L. Research of hardware Trojans detection technology based on side channel analysis[D]. [Ph.D. dissertation], Tianjin University, 2013: 1-8.
    YANG K and HICKS M. Analog malicious hardware[C]. IEEE Symposium on Security and Privacy Conference, San Jose, USA, 2016: 18-37. doi: 10.1109/SP.2016.10.
    SUBRAMANYAN P, TSISKARIDZE N, and LI Wenchao. Reverse engineering digital circuits using structural and functional analyses[J]. IEEE Transactions on Emerging Topics in Computing, 2014, 2(1): 63-80. doi: 10.1109/TETC. 2013.2294918.
    BAO Chongxi, FORTE D , and SRIVASTAVE A. On Reverse engineering-based hardware Trojan detection[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 35(1): 49-57. doi: 10.1109/TCAD. 2015.2488495.
    AGRAWAL D and BAKTIR S. Trojan detection using IC fingerprinting[C]. IEEE Symposium on Security and Privacy Conference, Berkeley, USA, 2007: 296-310. doi: 10.1109/SP. 2007.36.
    JIN Y and MAKRIS Y. Hardware Trojan detection using path delay fingerprint[C]. IEEE International Workshop on Hardware-Oriented Security and Trust Conference, Anaheim, USA, 2008: 51-57. doi: 10.1109/HST.2008.4559049.
    AARESTAD J, ACHARYYA D, and RAD R. Detecting Trojans through leakage current analysis using multiple supply pad IDDQs[J]. IEEE Transactions on Information Forensics and Security, 2010, 5(4): 893-904. doi: 10.1109/ TIFS.2010.2061228.
    NOWROZ A N, HU Kangqiao, and KOUSHANFAR F. Novel techniques for high-sensitivity hardware Trojan detection using thermal and power maps[J]. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2014, 33(12): 1792-1805. doi: 10.1109/TCAD.2014.2354293.
    SOLL O and KORAK T. EM-based detection of hardware Trojans on FPGAs[C]. IEEE International Symposium on Hardware-Oriented Security and Trust Conference, California, USA, 2014: 84-87. doi: 10.1109/HST.2014. 6855574.
    NGO X T, NAJM Z, and BHASIN S. Method taking into account process dispersion to detect hardware Trojan horse by side-channel analysis[J]. Journal of Cryptographic Engineering, 2016, 6(3): 239-247. doi: 10.1007/s13389-016- 0129-2.
    汪鹏君, 张跃军, 张学龙, 等. 防御差分功耗分析攻击技术研究[J]. 电子与信息学报, 2012, 34(11): 2774-2784. doi: 10.3724 /SP.J.1146.2012.00555.
    WANG Pengjun, ZHANG Yuejun, ZHANG Xuelong, et al. Research of differential power analysis countermeasures[J]. Journal of Electronics Information Technology, 2012, 34(11): 2774-2784. doi: 10.3724/SP.J.1146.2012.00555.
    SREEDHAR A, KUNDU S, and KOREN I. On reliability Trojan injection and detection[J]. Journal on Low Power Electronics, 2012, 8(5): 674-683. doi: 10.1166/jolpe.2012. 1225.34.
    薛明富, 胡爱群, 王箭. 基于探索式分区和测试向量生成的硬件木马检测方法[J]. 电子学报, 2016, 44(5): 1132-1138. doi: 10.3969/j.issn.0372-2112.2016.05.017.
    XUE Mingfu, HU Aiqun, and WANG Jian. A novel hardware Trojan detection technique using heuristic partition and test pattern generation[J]. Acta Electronica Sinica, 2016, 44(5): 1132-1138. doi: 10.3969/j.issn.0372-2112.2016.05.017.
    KULKARNI A, PINO Y, and MOHSENIN T. SVM-based real-time hardware Trojan detection for many-core platform[C]. IEEE International Symposium on Quality Electronic Design Conference, California, USA, 2016: 362-367. doi: 10.1109/ISQED.2016.7479228.
    CHAKRABORTY R S and PAUL S. On-demand transparency for improving hardware Trojan detectability[C]. IEEE International Workshop on Hardware-Oriented Security and Trust Conference, Anaheim, USA, 2008: 48-50. doi: 10.1109/HST.2008.4559048.
    ZHOU Bin, ZHANG Wei, THAMBIPILLAI S, et al. Cost-efficient acceleration of hardware Trojan detection through fan-out cone analysis and weighted random pattern technique[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 35(5): 792-805. doi: 10.1109/TCAD.2015.2460551.
    LI Jie and LACH J. At-speed delay characterization for IC authentication and Trojan horse detection[C]. IEEE International Workshop on Hardware-Oriented Security and Trust Conference, Anaheim, USA, 2008: 8-14. doi: 10.1109/ HST.2008.4559038.
    JIN Y and KUPP N. DFTT: Design for Trojan test[C]. IEEE International Conference on Electronics Circuits Systems, Athens, Greece, 2010: 1168-1171. doi: 10.1109/ ICECS.2010.5724725.
    ZHANG Xuihui and TEHRANIPOOR M. RON: An on-chip ring oscillator network for hardware Trojan detection[C]. Design Automation Test in Europe Conference Exhibition, Grenoble, France, 2011: 1-6. doi: 10.1109/DATE. 2011.5763260.
    XIAO Kan and TEHRANIPOOR M. BISA: Built-in self-authentication for preventing hardware Trojan insertion[C]. IEEE International Symposium on Hardware- Oriented Security and Trust Conference, Anaheim, USA, 2013: 45-50. doi: 10.1109/HST.2013.6581564.
    WU Tony F, GANESAN K, HU Yunqing, et al. TPAD: Hardware Trojan prevention and detection for trusted integrated circuits[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 35(4): 521-534. doi: 10.1109/TCAD.2015.2474373.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Article Metrics

    Article views (1116) PDF downloads(229) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return