Advanced Search
Volume 39 Issue 6
Jun.  2017
Turn off MathJax
Article Contents
LI Bing, TU Yunjing, CHEN Shuai, JI Jianhua. Efficient Design of Truly Random Seed Generator Based on SRAM Physical Unclonable Functions[J]. Journal of Electronics & Information Technology, 2017, 39(6): 1458-1463. doi: 10.11999/JEIT160835
Citation: LI Bing, TU Yunjing, CHEN Shuai, JI Jianhua. Efficient Design of Truly Random Seed Generator Based on SRAM Physical Unclonable Functions[J]. Journal of Electronics & Information Technology, 2017, 39(6): 1458-1463. doi: 10.11999/JEIT160835

Efficient Design of Truly Random Seed Generator Based on SRAM Physical Unclonable Functions

doi: 10.11999/JEIT160835
Funds:

The National Natural Science Foundation of China (61571116)

  • Received Date: 2016-08-15
  • Rev Recd Date: 2017-01-11
  • Publish Date: 2017-06-19
  • An efficient design of truly random seed generator based on SRAM Physical Unclonable Functions (PUFs) is proposed in this paper. Only the noisy cells of high min-entropy are selected to generate full entropy seeds in this design. Therefore, it can reduce the amount of data to be processed significantly and improve the efficiency of seed generation. The oscillating characteristics of the noisy cells inside SRAM are measured, and screening strategies for filtering out the selected noisy cells inside the SRAM are put forward. Finally, based on the strategies, a truly random seed generator is designed, which can generate full entropy seeds. The length of seeds generated by this design is from 128 bit to 256 bit. The number of the selected cells which are used to generate seeds is from 0.5% to 4% of all SRAM cells. Compared to the current design, it is shown that the proposed design in this paper is efficient and widely applicable.
  • loading
  • PAPPU R, RECHT B, TAYLOR J, et al. Physical one-way functions[J]. Science, 2002, 297(5589): 2026-2030. doi: 10.1126/science.1074376.
    BARBARESCHI M, BATTISTA E, MAZZEO A, et al. Testing 90 nm microcontroller SRAM PUF quality[C]. IEEE International Conference on Design Technology of Integrated Systems in Nanoscale Era, Naples, Italy, 2015: 1-6.
    HOLCOMB D E, BURLESON W P, and FU K. Power-Up SRAM state as an identifying fingerprint and source of true random numbers[J]. IEEE Transactions on Computers, 2008, 58(9): 1198-1210. doi: 10.1109/TC.2008.212.
    XIAO K, RAHMAN M T, FORTE D, et al. Bit selection algorithm suitable for high-volume production of SRAM-PUF[C]. IEEE International Symposium on Hardware-Oriented Security and Trust, Arlington, Virginia, USA, 2014: 101-106.
    LEEST V V D, SLUIS E V D, SCHRIJEN G J, et al. Efficient implementation of true random number generator based on SRAM PUFs[J]. Lecture Notes in Computer Science, 2012, 6805: 300-318. doi: 10.1007/978-3-642-28368-0_20.
    ZHANG J, LIN Y, LYU Y, et al. A PUF-FSM binding scheme for FPGA IP protection and pay-per-device licensing[J]. IEEE Transactions on Information Forensics Security, 2015, 10(6): 1137-1150. doi: 10.1109/TIFS.2015.2400413.
    DELVAUX J, GU D, SCHELLEKENS D, et al. Helper data algorithms for PUF-based key generation: Overview and analysis[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015, 34(6): 889-902. doi: 10.1109/TCAD.2014.2370531.
    KIM H and HONG S. AES Sbox GF(24) inversion functions based PUFs[C]. IEEE International SoC Design Conference (ISOCC), Jeju, South Korea, 2014: 15-16.
    VARCHOLA M, DRUTAROVSKY, M, and FISCHER V. New universal element with integrated PUF and TRNG capability[C]. International Conference on Reconfigurable Computing and FPGAs, Cancun, Mexico. 2013: 1-6.
    HUSSAIN S U, MAJZOOBI M, and KOUSHANFAR F. A built-in-self-test scheme for online evaluation of physical unclonable functions and true random number generators[J]. IEEE Transactions on Multi-Scale Computing Systems, 2016, 2(1): 2-16. doi: 10.1109/TMSCS.2016.2519902.
    LI D, LU Z, ZOU X, et al. PUFKEY: A high-security and high-throughput hardware true random number generator for sensor networks[J]. Sensors, 2015, 15(10): 26251-26266. doi: 10.3390/s151026251.
    HERREWEGE V A, VINCENT V D L, SCHALLER A, et al. Secure PRNG seeding on commercial off-the-shelf microcontrollers[C]. International Workshop on Trustworthy Embedded Devices, Berlin, Germany, 2013: 55-64.
    CORTEZ M, DARGAR A, HAMDIOUI S, et al. Modeling SRAM start-up behavior for physical unclonable functions[C]. IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Austin, TX, USA, 2012: 815-828.
    BARKER E and KELSEY J. Recommendation for random number generation using deterministic random bit generators[R]. NIST Special Publication, 2012: 800-890.
    GUAJARDO J, KUMAR S S, SCHRIJEN G J, et al. FPGA intrinsic PUFs and their use for IP protection[C] Cryptographic Hardware and Embedded Systems, CHES 2007, International Workshop, Vienna, Austria, 2007: 63-80.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Article Metrics

    Article views (1200) PDF downloads(369) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return